一个8421BCD码十进制计数器 verilog,设其初态Q3Q2Q1Q0=0000,输入的始终脉冲频率F=1kHZ。

求几个数字电路试题用CT74LS195设计4进制环形计数器,设初态为0010,下一个状态为().00 1000 用CT74LS161设计,置位型14进制计数器.置位输入端D3D2D1D0 需置入().10 0010 利用一片CT74LS161设计置位型计数_百度作业帮
求几个数字电路试题用CT74LS195设计4进制环形计数器,设初态为0010,下一个状态为().00 1000 用CT74LS161设计,置位型14进制计数器.置位输入端D3D2D1D0 需置入().10 0010 利用一片CT74LS161设计置位型计数
用CT74LS195设计4进制环形计数器,设初态为0010,下一个状态为().0000 0010 0100 1000 用CT74LS161设计,置位型14进制计数器.置位输入端D3D2D1D0 需置入().0101 0011 1010 0010 利用一片CT74LS161设计置位型计数器,其置位输入端D3D2D1D0为0110,这是( )进制计数器.16 8 10 5 用T195(74LS195)设计一个8进制环形计数器.初态0000,下一个状态为().0001 0011 0111 1111 用CT74LS161设计,置位型9进制计数器.置位输入端D3D2D1D0 需置入().0101 0011 1010 0111 二、判断题(共5道小题,共50.0分)判断题(共5道小题,共50.0分)判断对错 利用CT74LS195完成的m序列发生器,已知脉冲码序列为:此序列的循环周期是8.正确 错误 CT74LS161的清零端和置位端都是高电平有效的.正确 错误 利用74LS195构成的基本环形计数器不具有自启动功能.正确 错误 用CT74LS161设计完整的12进制清零型计数器,具有自启动功能.正确 错误 利用CT74LS161做成清零型13进制计数器,利用清零端来完成清零.其输出端Q3Q2Q1Q0为1011状态时,执行清零操作.正确 错误
我用序号表示选择题答案:3,4,3,1,4判断题:正确,错误,错误,正确,错误
您可能关注的推广回答者: 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
毕业设计论文+脉冲频率测量
下载积分:800
内容提示:毕业设计论文+脉冲频率测量
文档格式:DOC|
浏览次数:16|
上传日期: 14:32:24|
文档星级:
该用户还上传了这些文档
毕业设计论文+脉冲频率测量.DOC
道客巴巴认证
机构认证专区
加  展示
享受成长特权
官方公共微信上海·汽车电子与零组件技术论坛
第二届 无线通信技术论坛
第三届可穿戴产品设计技术研讨会
成都·智能医疗创新应用论坛
2015物联网技术与创新应用大会
移入鼠标可放大二维码
第二十三讲 异步计数器
来源:www.elecfans.co
作者:本站日 16:27
[导读] 第二十三讲 异步计数器
概述一、计数器:用以统计输入计数脉冲CP个数的电路。计数器的“模”(用M表示): 二、 计数器的分类1.按计数进制分2.按计数
第二十三讲 异步计数器
概述一、计数器:用以统计输入计数脉冲CP个数的电路。计数器的“模”(用M表示): 二、 计数器的分类1.按计数进制分2.按计数增减分3.按计数器中触发器翻转是否同步分7.3.1 异步计数器一、异步二进制计数器1.异步二进制加法计数设计思想JK触发器组成4位异步二进制加法计数器逻辑电路、工作原理、状态转换顺序表、工作波形D触发器组成的4位异步二进制加法计数器(学生课后分析)2.异步二进制减法计数器设计思想JK触发器组成4位异步二进制减法计数器逻辑电路、工作原理、状态转换顺序表、工作波形
现代教学方法与手段:用DLCCAI或EWB演示异步二进制计数器的逻辑功能。
二、异步十进制加法计数器4个JK触发器组成的8421BCD码异步十进制计数器1.计数状态顺序表2.逻辑电路图3.工作原理4.工作波形
现代教学方法与手段:用DLCCAI或EWB演示异步十进制计数器的逻辑功能。
课堂讨论:若考虑延迟时间,异步计数器的状态从的过程?发现异步计数器的缺点,从而带出同步计数器来。7.3 计数器概述一、计数器:用以统计输入计数脉冲CP个数的电路。计数器的“模”(用M表示):计数器累计输入脉冲的最大数目。也为电路的有效状态数。如M=6计数器,又称六进制计数器。二、 计数器的分类
1.按计数进制分二进制计数器:按二进制数运算规律进行计数的电路称作二进制计数器。十进制计数器:按十进制数运算规律进行计数的电路称作十进制计数器。任意进制计数器:二进制计数器和十进制计数器之外的其它进制计数器统称为任意进制计数器。如五进制计数器、六十进制计数器等。
2.按计数增减分加法计数器:随着计数脉冲的输入作递增计数的电路称作加法计数器。减法计数器:随着计数脉冲的输入作递减计数的电路称作减法计数器。加/减计数器:在加/减控制信号作用下,可递增计数,也可递减计数的电路,称作加/减计数器,又称可逆计数器。也有特殊情况,不作加/减,其状态可在外触发控制下循环进行特殊跳转,状态转换图中构成封闭的计数环。
3.按计数器中触发器翻转是否同步分异步计数器:计数脉冲只加到部分触发器的时钟脉冲输入端上,而其它触发器的触发信号则由电路内部提供,应翻转的触发器状态更新有先有后的计数器,称作异步计数器。同步计数器:计数脉冲同时加到所有触发器的时钟信号输入端,使应翻转的触发器同时翻转的计数器,称作同步计数器。显然,它的计数速度要比异步计数器快得多。
7.3.1 异步计数器
一、异步二进制计数器1.异步二进制加法计数根据学生的程度,有时也可以从设计的角度,讨论异步二进制加法计数器的设计思想。复习(提问):1 怎样由JK F/F、D F/F实现T′F/F?2 二进制加法的进位规则?
[必须满足二进制加法原则:逢二进一(1+1=10,即Q由1加1→0时有进位);各触发器应满足两个条件:每当CP有效触发沿到来时,触发器翻转一次,即用T′触发器。 控制触发器的CP端,只有当低位触发器Q由1→0(下降沿)时,应向高位CP端输出一个进位信号(有效触发沿),高位触发器翻转,计数加1。]
由JK触发器组成4位异步二进制加法计数器① 逻辑电路JK触发器都接成T′触发器,下降沿触发。
② 工作原理异步置0端上加负脉冲,各触发器都为0状态,即Q3Q2Q1Q0=0000状态。在计数过程中,为高电平。只要低位触发器由1状态翻到0状态,相邻高位触发器接收到有效CP触发沿,T′的状态便翻转。
③ 状态转换顺序表7.3.1所示。电路为十六进制计数器。④ 工作波形(又称时序图或时序波形)
输入的计数脉冲每经一级触发器,其周期增加一倍,即频率降低一半。一位二进制计数器就是一个2分频器,16进制计数器即是一个16分频器。表7.3.1 四位二进制加法计数器 状态转换顺序表
图7.3.2所示为由D触发器组成的4位异步二进制加法计数器的逻辑图。由于D触发器用输入脉冲的上升沿触发,因此,每个触发器的进位信号由 端输出。其工作原理类似,让学生课后自行分析。
2.异步二进制减法计数器根据学生的程度,有时也可以从设计的角度,讨论异步二进制减法计数器的设计思想。[二进制数的减法运算规则:1-1=0,0—1不够,向相邻高位借位,10-1=1;各触发器应满足两个条件:每当CP有效触发沿到来时,触发器翻转一次,即用T′触发器。 控制触发器的CP端,只有当低位触发器Q由0→1(上升沿)时,应向高位CP端输出一个借位信号(有效触发沿),高位触发器翻转,计数减1。]
由JK触发器组成的4位二进制减法计数器① 逻辑图。FF3~FF0都为T′触发器,下降沿触发。低位触发器由0→ 1(上升沿)时,应向高位CP端输出一个借位信号(有效触发沿),而触发器为下降沿触发,低位触发器应从 端输出借位信号。
② 工作原理
表7.3.2 四位二进制减法计数器计数状态顺序表
二、异步十进制加法计数器学习要点:十进制计数器的逻辑功能,即计数状态顺序表、工作波形。具体电路不要求掌握其电路形式,了解其电路工作原理(较复杂)。异步十进制加法计数器是在4位异步二进制加法计数器的基础上经过适当修改获得的。它跳过了六个状态,利用自然二进制数的前十个状态实现十进制计数。4个JK触发器组成的8421BCD码异步十进制计数器1.计数状态顺序表表7.3.3 十进制计数器状态顺序表
2.逻辑电路图
3.工作原理FF0和FF2为T′触发器。设计数器从Q3Q2Q1Q0=0000状态开始计数。这时J1==1,FF1也为T′触发器。因此,输入前8个计数脉冲时,计数器按异步二进制加法计数规律计数。在输入第7个计数脉冲时,计数器的状态为Q3Q2Q1Q0=0111。这时, J3=Q2Q1=1、 K3=1。输入第8个计数脉冲时,FF0由1状态翻到0状态,Q0输出的负跃变。一方面使FF3由0状态翻到1状态;与此同时,Q0输出的负跃变也使FF1由1状态翻到0状态,FF2也随之翻到0状态。这时计数器的状态为Q3Q2Q1Q0=1000,=0使J1==0。因此,在Q3=1时,FF1只能保持在0状态,不可能再次翻转。输入第9个计数脉冲时,计数器的状态为Q3Q2Q1Q0=1001。这时,J3=0、K3=1。输入第10个计数脉冲时,计数器从1001状态返回到初始的0000状态,电路从而跳过了六个状态,实现了十进制计数,同时Q3端输出一个负跃变的进位信号。4.工作波形。课堂讨论:若考虑延迟时间,异步计数器的状态从的过程?可见,异步计数器存在过渡过程,若将状态直接输出到译码器,将会产生错误的译码,造成误动作。这时,就要用下节课将要讨论的同步计数器。
7.3.1 异步计数器三、集成异步计数器CT74LS290掌握查手册使用MSI器件的方法。
㈠ 器件的逻辑功能1.电路结构框图2.逻辑功能示意图3.功能表4.逻辑功能说明(1)异步置0功能。(2)异步置9功能。(3)计数功能。
㈡ 应用1.实现10进制附:用级联(相当于串行进位)法实现N进制计数器的方法(异步)。2.利用反馈归零法获得N(任意正整数)进制计数器⑴ 计数器的置0功能⑵ 利用反馈归零法获得N进制计数器
步骤:① 写出计数器状态的二进制代码。② 写出反馈归零函数。这实际上是根据SN或SN-1写置0端的逻辑表达式。③ 画连线图。[例7.3.1] 试用CT74LS290构成六进制计数器。将CT74LS290构成九进制计数器。7.3.3 利用计数器的级联获得大容量N进制计数器一、级联法
例:实现100进制计数器
二、反馈归零法
例:实现异步二十三进制计数器
7.3.1 异步计数器三、集成异步计数器CT74LS290掌握查手册使用MSI器件的方法。㈠ 器件的逻辑功能1.电路结构框图(未画出置0和置9输入端):二进制计数器 + 五进制计数器。所以又称为:集成异步二—五一十进制计数器2.逻辑功能示意图说明:MSI器件画逻辑功能示意图的方法。⑴ 先画一个矩形框⑵ 在矩形框中填入MSI器件的型号⑶ 在矩形框的四周画输入、输出引线,位置根据需要而定,原则是使构成的电路原理清析,简单明了。一般CP信号加上“>”符号,低电平有效时加小圆圈。ROA和ROB:置0输入端,都为高电平时有效置0(即0000)S9A和S9B:置9输入端,都为高电平时有效置9(即1001)
思考:若置0、置9同时有效,结果如何?置9。一般不允许出现。
4.逻辑功能说明
(1)异步置0功能。
计数脉冲由CP0输入,从Q0输出时,则构成一位二进制计数器计数脉冲由CP1端输入,输出为Q3Q2Q1Q0时,则构成异步五进制计数器。
㈡ 应用1.实现10进制
附:用级联(相当于串行进位)法实现N进制计数器的方法(异步)。
课堂讨论:级联法实现更大容量计数器时,计数器的顺序如何?这样构成的N进制计数器的计数状态将保留M1进制计数器的特点。&&&&&&&&&&&&&8421BCD码十进制计数器状态表&&&&&&&&&5421BCD码十进制计数器状态表
如将Q0和CP1相连,计数脉冲由CP0输入,输出为Q3Q2Q1Q0时,则构成8421BCD码异步十进制计数器。2×5=10复习(提问):5421BCD码?如将Q3和CP0相连,计数脉冲由CP0端输入,从高位到低位的输出为Q0Q3Q2Q1时,则构成5421BCD码异步十进制加法计数器。5×2=10
2.利用反馈归零法获得N(任意正整数)进制计数器
⑴ 计数器的置0功能集成计数器的置0方式有异步和同步两种。①异步置0:与时钟脉冲CP没有任何关系,只要异步置0输入端出现置0信号,计数器便立刻被置0。② 同步置0:输入端获得置0信号后,只是为置0创造了条件,还需要再输入一个计数脉冲CP,计数器才被置0。
⑵ 利用反馈归零法获得N进制计数器用S0,S1,S2…,SN表示输入0,1,2,…,N个计数脉冲CP时计数器的状态。N进制计数器的计数工作状态应为N个:S0,S1,S2…,SN-1 对于异步置0:在输入第N个计数脉冲CP后,通过控制电路,利用状态SN产生一个有效置0信号,送给异步置0端,使计数器立刻置0,即实现了N进制计数。对于同步置0:在输入第N-1个计数脉冲CP时,利用状态SN-1产生一个有效置0信号,送给同步置0端,等到输入第N个计数脉冲CP时,计数器才被置0,回到初始的零状态,从而实现N进制计数。
课堂讨论:异步置0时状态SN出现的时间有多久?步骤:① 写出计数器状态的二进制代码。下面以构成十二进制计数器为例进行说明。当利用异步置0端获得十二进制计数器时,SN=S12=1100;当利用同步置0端获得十二进制计数器时,SN-1=S12-1=S11=1011。② 写出反馈归零函数。根据SN或SN-1写置0端的逻辑表达式。
若用CT74LS290(异步置0)构成十二进制计数器,用SN , 可令R0A= Q3,R0B=Q2③ 画连线图。主要根据反馈归零函数画连线图。
[例7.3.1] 试用CT74LS290构成六进制计数器。解:(1)写出S6的二进制代码为S6=0110(2)写出反馈归零函数。由于CT74LS290的异步置0信号为高电平1,因此, (3)画连线图。首先实现8421BCD码的十进制计数器。再将异步置0输入端R0A和R0B分别接Q2、Q1,同时将R9A和R9B接0。如图7.3.6(a)所示。
[例7.3.2]:将CT74LS290构成九进制计数器,如图7.3.6(b)所示。图7.3.6 用CT74LS290构成六进制计数器和九进制计数器(a) 六进制计数器;(b)九进制计数器
7.3.3 利用计数器的级联获得大容量N进制计数器一、级联法计数器的级联是将多个集成计数器(如M1进制、M2进制)串接起来,以获得计数容量更大的N(=M1×M2)进制计数器。一般集成计数器都设有级联用的输入端和输出端。异步计数器实现的方法:低位的进位信号→高位的CP端
两片接成十进制的CT74LS290级联组成10×10=100进制异步加法计数器。
二、反馈归零法
例:实现异步二十三进制计数器首先由两片CT74LS290构成的100进制计数器。再利用反馈归零法,S23的二进制代码:当高位片CT74LS290(2)计到2、低位片计到3时,两级与非门输出高电平1,加到异步置0端,使计数器回到初始的0状态,从而实现了二十三进制计数。
讲相关文章
讲相关下载
技术交流、积极发言! 发表评请遵守相关规定。
回顾往昔,ADI 公司已经走过了半个世纪的辉煌,如何踏上新的征途,延续下一个半个世纪、甚至是下一个世纪的辉煌亦是ADI一直在探寻的。...
DesignWar EV视觉处理器产品系列的EV52和EV54视觉处理器是完全可编程和可配置的视觉处理器IP核,它们结合了软件解决方案的灵活性与专用硬件的低成本和低功...
创新实用技术专题
Copyright &
.All Rights Reserved第7章 时序逻辑电路 - 逍遥游的主页
第7章&时序逻辑电路&&&&&一、学习目的&&&&&时序逻辑电路是数字电子电路的另一个主要分支。通过本章的学习要掌握时序逻辑电路的工作特点,掌握时序逻辑电路的分析方法和设计方法,掌握各种类型的计数器的基本原理和使用方法。
&&&&&&&二、内容概要&&&&&本章在介绍了时序逻辑电路的分析方法及异步计数器、同步计数器、寄存器与移位寄存器的基本工作原理后,着重介绍了有关中规模集成电路的逻辑功能、使用方法和应用。还介绍了时序逻辑电路的设计方法。
&&&&三、学习指导&&&&&本章重点:时序逻辑电路分析和设计方法,同步计数器和异步计数器的应用,寄存器的工作原理和分析方法。
&&&&&本章难点:同步计数器和异步计数器的设计。&&&&&方法提示:&对时序逻辑电路的分析设计方法要认真掌握,它是数字电路设计的一个基本功。在计数器设计和分析时要把计数器看成是“状态转换器”,对计数器的理解要跳出“计数”的限制,把它看成是多种状态的相互转换关系。
 7.1&概述教学要求&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&理解时序逻辑电路的概念&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&理解时序逻辑电路的工作特点
7.2&时序逻辑电路的分析方法教学要求&&&&&&&&&&&&&&&&&&&&&&&&&&&理解同步时序逻辑电路的分析方法&&&&&&&&&&&&&&&&&&&&&&&&&&&了解异步时序逻辑电路的分析方法&&&&&&&&&&&&&&&&&&&&&&&&&&&掌握状态方程、驱动方程、输出方程的概念和用法&&&&&&&&&&&&&&&&&&&&&&&&&&&掌握状态转换图、状态转换真值表和时序图的用法
&&&&时序逻辑电路的分析:根据给定的电路,写出它的方程、列出状态转换真值表、画出状态转换&&&&&&&&&&&&&&&&&&&&&&&图和时序图,而后得出它的功能。
 &&&&一、同步时序逻辑电路的分析方法
&&&&&&&&同步时序逻辑电路的主要特点:在同步时序逻辑电路中,由于所有触发器都由同一个时钟脉冲信号CP来触发,它只控制触发器的翻转时刻,而对触发器翻转到何种状态并无影响,所以,在分析同步时序逻辑电路时,可以不考虑时钟条件。
&&&&1、基本分析步骤&&&&&写方程式:&&&&&输出方程:时序逻辑电路的输出逻辑表达式,它通常为现态和输入信号的函数。
&&&&驱动方程:各触发器输入端的逻辑表达式。
&&&&状态方程:将驱动方程代入相应触发器的特性方程中,便得到该触发器的状态方程。
&&&&&列状态转换真值表:&&&&&&&&将电路现态的各种取值代入状态方程和输出方程中进行计算,求出相应的次态和输出,从而列出状态转换真值表。如现态的起始值已给定时,则从给定值开始计算。如没有给定时,则可设定一个现态起始值依次进行计算。
&&&&&逻辑功能的说明:&&&&&根据状态转换真值表来说明电路的逻辑功能。
&&&&&画状态转换图和时序图:&&&&&状态转换图:是指电路由现态转换到次态的示意图。
&&&&时序图:是在时钟脉冲CP作用下,各触发器状态变化的波形图。
&&&&&检验电路能否自启动&&&&&关于电路的自启动问题和检验方法,在下例中得到说明。
&&&&&2、分析举例&&&&例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。
&&&&解:由上图所示电路可看出,时钟脉冲CP加在每个触发器的时钟脉冲输入端上。因此,它是一个同步时序逻辑电路,时钟方程可以不写。
&&&&①&写方程式:
&&&&&&&输出方程:
&&&&&&&驱动方程:
&&&&&&&状态方程:&
&&&&②&列状态转换真值表:
&&&&状态转换真值表的作法是:
&&&&从第一个现态“000”开始,代入状态方程,得次态为“001”,代入输出方程,得输出为“0”。
&&&&把得出的次态“001”作为下一轮计算的“现态”,继续计算下一轮的次态值和输出值。
&&&&依次类推,直到次态值又回到了第一个现态值“000”。
现&&态次&&态输&出Y000001000101000110110010100010010101010001&&&&③&逻辑功能说明:&&&
&&&&电路在输入第6个计数脉冲CP后,返回原来的状态,同时输出端Y输出一个进位脉冲。因此,上图所示电路为同步六进制计数器。
&&&&④&画状态转换图和时序图:
&&&&状态转换图和时序图如下图所示
&&&&状态转换图的圆圈内表示电路的一个状态,即三个触发器的状态,箭头表示电路状态的转换方向。箭头线上方标注的X/Y为转换条件,X为电路状态转换前输入变量的取值,Y为输出值,由于本例没有输入变量,故X未标数值。
&&&&⑤&检查电路能否自启动。
&&&&三位二进制计数器应有8个状态,由“状态转换图”可看出,只有6个状态被利用了,这6个状态称为有效状态。还有110和111没有被利用,称为无效状态。将无效状态110代入状态方程中进行计算,得三个输出状态为111,再将111代入状态方程后得010,为有效状态。可见,该电路如果由于某种原因而进入无效状态工作时,只要继续输入计数脉冲CP,电路便会自动返回到有效状态工作,所以,该电路能够自启动。
 &&&&二、异步时序逻辑电路的分析方法
&&&&&异步时序逻辑电路的分析方法和同步时序逻辑电路的基本相同,&&&&&&但在异步时序逻辑电路中,只有部分触发器由计数脉冲信号源CP触发,而其它触发器则由电路内部信号触发。
&&&&&在分析异步时序逻辑电路时,应考虑各个触发器的时钟条件,即应写出时钟方程。这样,各个触发器只有在满足时钟条件后,其状态方程才能使用。这也是异步时序逻辑电路在分析方法上与同步时序逻辑电路的根本不同点,应引起足够的重视。
&&&&分析举例
&&&&例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。
&&&&解:由上图可看出,FF1的时钟信号输入端未和输入时钟信号源CP相连,它是由FF0的Q0端输出的负跃变信号来触发的,所以是异步时序逻辑电路。
&&&&&①&写方程式:&&&&&&&&&时钟方程:CP0=CP2=CP&&&&&&FF0和FF2由CP的下降沿触发。
&&&&&&&&&&&&&&&&&&CP1=Q0&&&&&&&&&&&&&&FF1由Q0输出的下降沿触发。
&&&&&&&输出方程:
&&&&&&&驱动方程:
&&&&&&&&状态方程:
&&&&②&列状态转换真值表:
&&&&状态方程只有在满足时钟条件后,将现态的各种取值代入计算才是有效的。&&&&
&&&&设现态为=000,代入输出方程和状态方程中进行计算,可以得出该逻辑电路的状态转换真值表:
现态次态输出时钟脉冲YCP2CP1CP000000100010100010011001110001000001 &&&&表中的第一行取值,在现态=000时,先计算次态为=01,由于CP1=Q0,其由0跃到1为正跃变,故FF1保持0态不变,这时=001。表中的第二行取值,在现态为=001时,得=00,这时CP1=Q0由1跃到0为负跃变,FF1由0态翻到1态,这时=010。其余依此类推。
&&&&③&逻辑功能说明:
&&&&由上表可看出,该电路在输入第5个计数脉冲时,返回初始的000状态,同时输出端Y输出一个负跃变的进位信号,因此,该电路为异步五进制计数器。
&&&&④&状态转换图和时序图。
&&&&根据状态转换真值表可画出该电路的状态转换图和时序图,如下图所示。
&&&&&&&&7.3&计数器教学要求&&&&&&&&&&&&&&&&&&&&&&&&&&&理解计数器的分类、理解计数器的计数规律&&&&&&&&&&&&&&&&&&&&&&&&&&&掌握二进制计数器的组成和工作原理&&&&&&&&&&&&&&&&&&&&&&&&&&&掌握二进制计数器和十进制计数器的功能及其应用
&&&&关于计数器的概述&&&&&计数器:用以统计输入计数脉冲CP个数的电路,还常用于分频和定时等。&&&&&&计数器的组成:有触发器和逻辑门组成。
&&&&&计数器的分类:
&&&&&&按照控制方式分:异步计数器和同步计数器。
&&&&&&&按照计数增减分:加法计数器、减法计数器和加减法计数器。
&&&&&&&按照计数进制分:二进制计数器、十进制计数器和任意进制计数器。
&&&&&计数器的“模”:计数器累计输入脉冲的最大数目称为“模”,用M表示。
 &&&&一、异步计数器
&&&&&异步计数器:计数脉冲只加到部分触发器的时钟脉冲输入端上,而其它触发器的触发信号则由电路内部提供,应翻转的触发器状态更新有先有后的计数器,称作异步计数器。
&&&&1、异步二进制计数器
 &&&(1)异步二进制加法计数器:
&&&&&电路结构及工作原理演示:&
&&&&&状态转换表:&4位二进制加法计数器状态表计数顺序计数器状态Q3Q2Q1Q000000100012001030011401005010160110701118100091001101010111011121100131101141110151111160000&&&&&逻辑功能分析&&&&&由该表可看出:当输入第16个计数脉冲时,触发器都返回到初始的Q3Q2Q1Q0=0000状态,同时计数器的Q3输出一个负跃变的进位信号。从输入第17个计数脉冲CP开始,计数器又开始了新的计数循环。可见,该电路为十六进制计数器。从其工作波形又可看出:输入的计数脉冲每经一级触发器,其周期增加一倍,即频率降低一半。所以,该计数器也是一个16分频器。
&&&&&D触发器组成的4位异步二进制加法计数器的逻辑图&&&&&&分析方法和逻辑功能同上述电路完全一样。 
 &&&(2)异步二进制&减法计数:&&&&&二进制减法运算规则:
&&&&1-1=0,0-1不够,向相邻高位借1作2,这时可视为(1)0-1=1。如二进制数0000-1时,可视为(1)11;0,其余减法运算以此类推。由上讨论可知,4位二进制减法计数器实现减法运算的关键是在输入第1个减法计数脉冲后,计数器的状态应由0000翻到1111。
&&&&&电路结构:&
&&&下图所示为由JK触发器组成的4位异步二进制&减法计数器的逻辑图。FF3~FF0都为T′触发器,负跃变触发。为了能实现向相邻高位触发器输出借位信号,要求低位触发器由0状态变为1状态时能使高位触发器的状态翻转,因此,低位触发器应从Q端输出借位信号。
&&&&&工作原理:&&&&&计数前在置0端&&上加负脉冲,使各触发器都为0状态,即Q3Q2Q1Q0=0000状态。在&减法计数过程中,&为高电平。
&&&&当输入第一个计数脉冲CP时,第一位触发器FF0由0状态翻到1状态,&端输出&负跃变的借位信号,使FF1由0状态翻到1状态,&输出负跃变的借位信号,使FF2由0状态翻到1状态。同理,FF3也由0状态翻到1状态,&输出一个负跃变的借位信号,使计数器翻到Q3Q2Q1Q0=1111。
&&&&&当CP端输入第二个减法计数脉冲时,计数器的状态为Q3Q2Q1Q0=1110。
&&&&&工作波形:&&&&&&状态转换表:&4位二进制加法计数器状态表计数顺序计数器状态Q3Q2Q1Q000000111112111031101411005101161010710018100090111100110110101120100130011140010150001160000 &&&&&&&&2、异步&十进制加法计数器&&&&异步十进制加法计数器是在4位异步二进制加法计数器的基础上经过适当修改获得的。它跳过了六个状态,利用自然二进制数的前十个状态实现十进制计数。
&&&&&状态转换表:&十进制计数器状态表计数顺序计数器状态Q3Q2Q1Q000000100012001030011401005010160110701118100091001100000&&&&&电路结构:&&&&&&&&&由4个JK触发器组成的8421BCD码异步十进制计器的逻辑图
&&&&&工作波形:&&&
&&&&3、集成异步计数器CT74LS290
&&&&下图左侧所示为集成异步二-五-十进制计数器CT74LS290的电路结构框图(未画出置0和置9输入端)。由该图可看出,CT74LS290由一个一位二进制计数器和一个五进制计数器两部分组成。下图有侧所示为CT74LS290的逻辑功能示意图。图中R0A和R0B为置0输入端,S9A和S9B为置9输入端。
&&&&&CT74LS290结构框图和逻辑功能示意图&&&&&&&& &&&&&CT74LS290功能表& 输入输出说明R0A.R0BR9A.R9BCPQ3Q2Q1Q010X0000置001X1001置900↓&&&&&计&&&&&&&数 &&&&&逻辑功能&&&&&异步置0功能:当R0=R0A·R0B=1、S9=S9A·S9B=0时,计数器置0,即QQ2Q1Q0=0000。与时钟脉冲CP没有关系。因此,这是异步置0。
&&&&异步置9功能:当S9=S9A·S9B=1、R0=R0A·R0B=0时,计数器置9,即Q3Q2Q1Q0=1001,它也与CP无关。显然这是异步置9。&&&
&&&&计数功能:当R0A·R0B=0、S9A·S9B=0时,CT74LS290处于计数工作状态,有下面四种情况,即
&&&&计数脉冲由CP0端输入,从Q0输出时,则构成一位二进制计数器。
&&&&计数脉冲由CP1端输入,输出为Q3Q2Q1时,则构成异步五进制计数器。
&&&&如Q0和CP1相连,脉冲由CP0端输入,输出为Q3Q2Q1Q0时,构成8421BCD码异步十进制计数器。
&&&&如Q3和CP0相连,脉冲由CP1端输入,输出为Q0Q3Q2Q1时,构成5421BCD码异步十进制加法计数器。
&&&&&利用异步置0功能获得N进制(任意进制)计数器
&&&&利用计数器的异步置0功能可获得N进制计数器。这时,只要异步置0输入端出现置0信号,计数器便立刻被置0。因此,利用异步置0输入端获得N进制计数器时,应在输入第N个计数脉冲CP后,通过控制电路(或反馈线)产生一个置0信号加到异步置0输入端上,使计数器置0,便实现了N进制计数。具体方法如下:
&&&&用S1,S2,…,SN表示输入1,2,…,N个计数脉冲CP时计数器的状态。
&&&&...写出N进制计数器状态SN的二进制代码。
&&&&...写出反馈归零函数。这实际上是根据SN写置0端的逻辑表达式。
&&&&...画连线图。主要根据反馈归零函数画连线图。
&&&&例、试用CT74LS290构成六进制计数器。
&&&&解:①&写出S6的二进制代码:S6=0110。
&&&&&&&&②&写出反馈归零函数。由于CT74LS290的异步置0信号为高电平1,因此,只有在R0A和R0B同时为高电平1时,计数器才能被置0,所以R0=R0A·R0B=Q2·Q1。
&&&&&&&&&③&画连线图。由上式可知,要实现六进制计数,应将R0A和R0B分别接Q2、Q1,同时将S9A和S9B接0。由于计数容量为6,大于5,还应将Q0和CP1相连。&&&}

我要回帖

更多关于 十进制计数器电路图 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信