数字电路 触发器输出端波形问题。高金食品悬赏求高手解答!

扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
数字电路与逻辑设计基础
第六章 触发器
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口- 数字电路考试试题
- 数字电路考研试题<meta name="description" content=" - 数字电路考试试题
- 数字电路考研试题"> - 数字电路考试试题
- 数字电路考研试题
最新高清视频推荐,免费在线观看!数字电路试题 热门推荐
"数字电路试题" 相关热门视频推荐
"数字电路试题" 内容简介 数字电路考试题目。。高人救命啊。。第一步:设三个班是A,B ,C 大小教室分别为Y1,Y2。使用为1。不上或不用为0。 第二步:写真值表 A B C Y1 Y2 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 求浙江省高等教育自学考试数字电路试题答案 每年的都要,谢谢zx_很抱歉的告诉LZ,,你不用找了,没有。 题型可以到网上查。浙江教育考试网(官网)也只有试题无答案。 自考的任何考卷都没有官方标准答案~这是国家规定的,禁止公布答案。 我也是杭州的,我就是从浙江教育考试数字电路试题一、判断题(共5道小题,共50.0分)判断对错
时序逻辑电路的特点是,任意时刻的输出不仅取决于该时刻输入逻辑变量的状态,而且还与电路原来的状态有关,因此该时序逻辑电路具有记忆功能。
CT74LS161的清零端和置位端都是低电平有效的。
正确
利用74LS195构成的基本环形计数器具有自启动功能。
正确
利用CT74LS195完成的m序列发生器,已知脉冲码序列为:000111……此序列的循环周期是3。
正确
用CT74LS161设计完整的9进制清零型计数器,具有自启动功能。
正确
二、单项选择题(共5道小题,共50.0分)选择唯一正确答案
时序逻辑电路包括:
全加器
数据选择器
利用一片CT74LS161设计置位型计数器,其置位输入端D3D2D1D0为0111,这是()进制计数器。
5
用T195(74LS195)设计一个8进制扭环计数器。初态0010,下一个状态为()。
用CT74LS161设计,置位型14进制计数器。置位输入端D3D2D1D0 需置入()。
用CT74LS195设计4进制环形计数器,设初态为1011,下一个状态为()。
兄弟你是学什么的,和我学的专业不同啊,无能为力、数字电路考试题,请帮忙!!!!!!!!!!!!在输入信号从极小到极大的一次变化过程中,迟滞比较器的输出会发生(
) 次翻转。
A. 0
B. 1
C. 2
D. 3
3、当我们在电路中引入电压并联负反馈后,与基本放大器的输入、输出电阻相比,反馈放大器的输入、输出电阻的变化是(
A. 输入电阻增大,输出电阻增大
C. 输入电阻减小,输出电阻减小
B. 输出电阻增大,输入电阻减小
D. 输入电阻减小,输出电阻增大1, 翻转1次 2,输入,输出均变小数字电路试题解答1、触发器异步输入端为低电平有效时,如果异步输入 RD=1、 SD=0,则触发器直接置成(
)状态。
2、数字电路中,常用的脉冲波形产生电路是(
)器。
3、同步JK触发器的特性方程为:(
) 。
4、单稳态触发器中,两个状态一个为(
)态,另一个为(
)态.多谐振荡器两个状态都为(
)态, 施密特触发器两个状态都为 (
)态.
5、某数/模转换器的输入为8位二进制数字信号(D7~D0),输出为0~25.5V的模拟电压。若数字信号的最低三位是“1”其余各位是“0”,则输出的模拟电压为(
)。
6、A/D和D/A转换器的主要技术指标,可采用(
)两个参数描述。
7、某模/数转换器的输出为8位二进制数字信号(D7~D0),Vref=5V,当输入电压为3.2V时,输出的二进制数为(
)。
8、用8个触发器可以存储(
)位二进制数。
9、用定时器555构成一个多谐振荡器后,其振荡频率f为(
)。
10、一个单稳态触发器,输出完全取决于(
),输入信号只起(
)作用。1、触发器异步输入端为低电平有效时,如果异步输入 RD=1、 SD=0,则触发器直接置成( 1 )状态。 2、数字电路中,常用的脉冲波形产生电路是( 多谐振荡 )器。 3、同步JK触发器的特性方程为:数字电路解答习题解答1、&#160;对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为(
D:不确定
2、图2所示器件是什么类型的集成计数器?(
A:同步二进制加法
B:异步二进制减法
C:同步十进制加法
D:异步十进制加法
3、可以明显改善输出波形边沿的电路是(
A:多谐振荡器
B:施密特触发器
C:单稳态触发器
D:定时器
4、下列说法不正确的是(
A:时序电路与组合电路具有不同的特点,因此其分析方法和设计方法也不同 &#160; &#160; &#160; B:时序电路任意时刻的状态和输出均可表示为输入变量和电路原来状态的逻辑函数 &#160; &#160; &#160; C:用包含输出与输入逻辑关系的函数式不可以完整地描述时序电路的逻辑功能 &#160; &#160; &#160; D:用包含输出与输入逻辑关系的函数式可以完整地描述时序电路的逻辑功能
5、有一个或非门构成的RS触发器,欲使该触发器保持原态,则输入信号应为(
)。 &#160;
C:S=1,R=0 
D:S=0,R=1&#160;
6、在555定时器组成的三种电路中,能自动产生周期为T=0.7(R1+2R2)C的脉冲信号的电路是(
A、多谐振荡器;
B、单稳态触发器;
  C、施密特触发器;
D、双稳态触发器1、 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为( a)。 A:0 B:1 C: Qn D:不确定 2、图2所示器件是什么类型的集成计数器?( 无图)。 A:同步二进制加法数字电路题目滞后性是()的基本特性?A.多谐振荡器B.施密特触发器C.T触发器D.单稳态触发器
一个4位移位寄存器,现态为0000,如果串行输入始终为1,则经过4个移位脉冲后,寄存器的内容为(  )
A.0001
B.0111
C.1110
D.1111
关于半导体存储器的描述,下列哪种说法是错误的?(  )
A.RAM读写方便,但一旦掉电,所存储的内容就会全部丢失
B.ROM掉电以后数据不会丢失
C.RAM可分为静态RAM和动态RAM
D.动态RAM不必定时刷新
下列几种说法中与BCD码的性质不符的是(  )
A.一组四位二进制数组成的码只能表示一位十进制数
B.BCD码是一种人为选定的0~9十个数字的代码
C.BCD码是一组四位二进制数,能表示十六以内的任何一个十进制数
D.BCD码有多种滞后性是(B,T)的基本特性?A.多谐振荡器B.施密特触发器C.T触发器D.单稳态触发器 一个4位移位寄存器,现态为0000,如果串行输入始终为1,则经过4个移位脉冲后,寄存器的内容为(D) A.00一道数字电路考研题,要求化简状态表这是个10分的题里的一问。
要求:给出化简原因,化简结果。
最好能说一下化简原则,有没有简单方法什么的。原则是若几个状态在对应的输入下次态相同且输出相同的话就可以将它们合并为一个状态。若问题较复杂则需要画蕴含表。此问题可以直接用行匹配法找等价的状态: a、b、e、g等价;f与d等价 若不明白可以去查查我关于模拟电路和数字电路的面试题目?由于工作原因,应聘需要回答模电和数电的一些问题,我想在此把题目发出来,希望懂的朋友花点时间,帮小弟认真全部解答出来,小弟发自肺腑感谢之!1.放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)?2.频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法?3.给出一个查分运放,如何相位补偿,并画补偿后的波特图?4.画差放的两个输入管?5.画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的 运放电路?6.用运算放大器组成一个10倍的放大器?7.给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点 的
rise/fall时间?8.电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电 压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤 波器。当RC&&T时,给出输入电压波形图,绘制两种电路的输出波形图?9.有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、 带通、高通滤波器后的信号表示方式?10.在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管 还是N管,为什么?11.给出多个mos管组成的电路求5个点的电压?12.电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述 其优缺点?13.画电流偏置的产生电路,并解释?14.史密斯特电路,求回差电压?15.晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期.)
?16.锁相环电路组成,振荡器(比如用D触发器如何搭)。17.求锁相环的输出频率,给了一个锁相环的结构图.18.、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线 无损耗。给出电源电压波形图,要求绘制终端波形图?19.微波电路的匹配电阻? 问题补充:希望懂的朋友可以详细帮我解答,答案可以发我邮箱或直接发在这里这个……未免太高难度了数字电路题目,请高手做做 并说明其理由,谢谢!1.可以将输出端直接并联实现“线与”逻辑的门电路是:()
A、TTL电路的OC门;B、 TTL电路的三态门;
C、普通的CMOS门; D、漏极开路的CMOS门。
2、在数据传输中的总线结构中常采用的门电路是
三态门第一个是…A因为 一般的TTL输出是推挽级的,可以输出高电平和低电平两种状态。 OC门是集电极开路门,可以输出高阻(三态)和低电平两种状态。OC门的输出必须上拉,才能保证高阻状态被检测出来。 OC门最数字电路试题 最新发布
数字电路试题 人气视频第7章 触发器和时序逻辑电路_文档下载_文档资料库
当前位置: >>
第7章 触发器和时序逻辑电路
1 第一节 双稳态触发器 第二节 寄存器 第三节 计数器第四节 数/模和模/数变换器 第五节 555定时器第六节 数字电路应用举例 一、RS触发器二、JK触发器 三、D触发器 第一节 双稳态触发器在对数字信号进行算术运算和逻辑运算过程中, 需要暂时保存(记忆)一定的代码(指令、操作数、 或控制信号),需要一种具有记忆功能的逻辑单元。 触发器――一种具有记忆功能的能够储存一位 二值信号的基本单元电路,是构成时序逻辑电路 的基本逻辑部件。 双稳态触发器――有两个相反的稳定状态,从 一个稳定状态转换为另一个稳定状态靠输入信 号触发,输入信号消失后,稳定状态能一直保持 下去。4 第一节 双稳态触发器分类: 按逻辑功能不同分为:R-S触发器、D触发器、JK触发器、T和T? 触发器。5 第一节 双稳态触发器组合 数 字 电 路 逻辑电路特点:输出只取决于当前的 输入 组成:门电路,不存在记忆 元件 特点:输出取决于当前的输 入和原来的状态 组成:组合电路、记忆元件6时序 逻辑电路 第一节 双稳态触发器一、RS触发器(一)基本R-S触发器 1.电路组成 由两个与非门交叉耦合而组成。 两互补输出端 信号输出端: 0 Q 反馈线 Q 1 Q=0、Q=1的状态称0状态。Q=1、Q=0的状态称1状态。 &G 与非门 SD 两输入端7A&GBRD 第一节 双稳态触发器 一个触发器可存 储 1 位二进制数码在输入信号作用下,两个稳两互补输出端 0 Q 反馈线 Q 1定状态“0”态和“1”态互相转换,当输入信号消失后,电路状态能保存下来。 与非门 SD 两输入端 RD8&GA&GB 第一节 双稳态触发器QQ Q Q&GA&GBSSdRRd低电平 有效SdRd逻辑电路逻辑符号9 2.工作原理及逻辑关系 0 Q Q 1第一节 双稳态触发器逻辑状态表SdRd现 n Q 态 次 n+1 Q 态&G1A&G11B00 100有0出1 ,全1出0SdRd 置0端(复位端)①置0功能 ,当 RD =0、 D=1时:不论原来 Q 为0还 S 是1,都有 Q =1; 反馈回GA后使Q=0。既使 RD Q 消失,Q端仍保持0状态不变。10 第一节 双稳态触发器1 QQ 0 Sd逻辑状态表Rd现 n 次 n+1 Q 态Q 态&G0A&G1B1100 101010 1SdRd置1端(置位端) ②置1功能, RD=1、 D =0时 :不论原来Q为0还是1, S 都有Q=1;Q反馈回GB后使 Q =1、Q =0。既使 SD 消 11 失,Q端仍保持1状态不变。 第一节 双稳态触发器0 QQ 1Sd 1B逻辑状态表Rd 0 1现 态Qn次 n+1 Q 态&G1A&G1 00 1 0 10 101SdRd110 1 不变Q ③记忆功能, RD=1、 D =1时 :设原态Q=0、 =1,Q S 反馈回GA,保证Q=0,当 Rd 由0变为1时,Q反馈回 GB仍为0,触发器保持原有状态不变,即原态被存储, 12 具有记忆能力。 1 Q?A第一节 双稳态触发器Q1 Sd 1B逻辑状态表Rd 0 1 1现 n 次 n+1 Q 态Q 态&G0&G0 1 0 1 0 10 1001不变SdRd000 1 不定R ④ 状态不变, D=0、SD=0时 禁用:Q= Q =1,不符 合触发器的逻辑关系。且与非门延迟时间不可能完全 相等,在两输入端的0同时撤除后,将不能确定触发 13 器的状态,触发器不允许出现这种情况。 归纳第一节 双稳态触发器基本RS触发器特点QQ1.Rd 、 Sd负脉冲触发。 2.有记忆功能: 电路具有两个稳定状态,在 无外来触发信号作用时,电路 将保持原状态不变。 3.有置0或置1功能: 在外加触发信号时,电 路可以触发翻转。 4.缺点:输出状态直接 受输入信号的控制,使用 范围受限。 Sd 1&GA&GBSd Rd Qn+1 说明 Rd 0 0 置00 101 101保持不定置1记忆功能应禁止14 第一节 双稳态触发器基本RS触发器缺点:输出状态直接受输入 信号的控制,使用范围受限。 增加两个控制门和一个触发信号,让输 入控制信号通过控制门传送。 时钟脉冲CP(同步信号)――是一种控制命令 (触发信号),控制触发器翻转,是一串矩形脉 冲。 可控(钟控或同步)RS触发器――通过控制 门实现时钟脉冲对输入信号控制的触发器。同步――各触发器翻转由同一时间控制。15 第一节 双稳态触发器一、可控RS触发器(一)电路组成 基本RS触发器直接置 位端 输入控制门 Sd &GCQQ 直接复 位端&GA&GBRd &GD输入控制门――实现时钟 脉冲对输入信号的控制。 输入信号 时钟脉冲――采用正脉冲 (CP高电平时翻转)。 SCPR 时钟脉冲 16 第一节 双稳态触发器RD直接复位端和 SD直接置 位端――实际应用中,必须将 触发器设置成某一初始状态, 不经时钟脉冲控制,就可置0 或置1。 Sd 加负脉冲(低 电平)有效 工作过程一般不用,不用 时,两者处于1状态(高电平 或悬空)。QQ&GA&GBRd &GC&GDS CPR17 第一节 双稳态触发器QQ逻辑符号Q Q&G Sd &GA&GBRdC&GS C R Sd S CP R Rd18DS CPR 第一节 双稳态触发器(二)工作原理 1.当CP=0时:R、S无 论如何,GC、GD门输出均 为1,被封锁,触发器保持 原状态。 R、S不起作用。 S d 2.当CP=1时:GC、GD被 解除封锁,R、S输入端的信号 作用到基本R-S触发器,触发 器输出状态随R、S的状态而 变化。 R、S经控制门变为反脉冲。QQ&G1A&GB1Rd&GC&GDS CP 0R19 第一节 双稳态触发器Q 1 &G Sd0 1Q 0ACP=1时 ①S=R=0:控制门输出1, 保持原态。&GB1②S=1、R=0:GC门输出0, Rd 则Q=1。&G1 0C&GDS0CP 1R讨论20 Q 0?A第一节 双稳态触发器Q 1CP=1时 ?S=0、R=1:GD门输出0, 则Q=0。 ?S=1、R=1: GC、GD门输 Rd 出0,则触发器输出不确定。&G Sd0&GB0&G0 1C&GD1 1SCP 1R讨论21 第一节 双稳态触发器QQ R逻辑状态表S 0 0 1 1 Qn+1 说明 Qn 0 1? 不变 输出为0 输出为1 不定&G SdA&GB0 Rd 1 0 1&GC&GDSCPR不允 许出现22 第一节 双稳态触发器[例7-1-1]假设Q的初始状态为0,画出输出端Q 的波形图。S 0 0 1 1 不定 R 0 1 0 1逻辑状态表Qn+1 QnCPS R 0 0保 持1 0 10 11 10 1 不定Q 00CP高电平时触发 器状态由R、S确定23 第一节 双稳态触发器Sd[例7-1-2]假设Q的初始状态为0,画出输出端 Q的波形图。 Q Q 加两条反馈线 R→ Q (R=Qn) S→ Q ( S=Qn) &G &G A B 反馈使GC门和GD门 分别受Q和Q控制,当计 Rd 数脉冲加到CP端时,G C &G &G C D 和GD两个门中只有一 个门产生负脉冲,使触发 器翻转。 S R CP24 第一节 双稳态触发器1 Q 0Q讨论1 0&G Sd 0A&GB1&GC&G1RdD设: 触发器的初始状态 为Q=0、Q =1,计数脉冲输 入时CP=1。 GC门两个输入端都是1 态,输出0,使触发器翻转 到Q=1。 GD门由Q反馈线控制处 于0态,不会输出0。 CP端加计数脉冲,来一 个计数脉冲翻转一次,翻 转的次数等于脉冲的数目, 25 构成计数器。1SCPR0 第一节 双稳态触发器可控RS触发器缺点:发生空翻现象。0 Q 1 Q 01&G SdA&GB1&GC&G0 R dD触发器翻转之后,如果 计数脉冲的高电平没及时降 下来(或时钟脉冲过宽), GD门受Q控制,就会输出负 脉冲,使触发器产生不应有 的新翻转,造成动作混乱。0S CP1R126 第一节 双稳态触发器空翻现象――因时钟脉冲过宽,在一个时钟 脉冲期间触发器发生多次翻转。逻辑状态表 C Q=S Q=RS 0 0 1 1 R 0 1 0 1 Qn+1 Qn0 1 不定克服办法――采用主从 JK 触发器或 D 触发器27 归纳逻 辑 状 态 表可控RS触发器主要特点 R 01 0 1 Q第一节 双稳态触发器S 00 1 1Qn+1 说明 Qn 0 1?不变输出为0 输出为1 不定QQ&G SdA&GB逻 辑 符 号QRd &GC&GDS C R Sd S CP R RdS CP R28 归纳可控RS触发器主要特点 1.电路具有两个稳定状态。第一节 双稳态触发器2.电平触发方式:在CP=1期间接收输入信 号,CP=0时状态保持不变。要求:在CP=1 期间触发信号保持不变。 3.有记忆功能:在无外来触发信号作用时, 电路将保持原状态不变。4.有计数功能:来一个计数脉冲,电路翻转 一次,计数一次。 5.缺点:计数时存在空翻问题。29 EDA 实验第一节 双稳态触发器实验十三、RS触发器的功能验证实验目的:验证RS触发器的功能。 建立电路:1.利用与非门组成RS触发器。 2.利用指示灯来表示输出端的状态。实验步骤:1.记录指示灯的状态。 2.与触发器的真值表相比较。 链接EDA1330 EDA 实验第一节 双稳态触发器基本RS触发器31 第一节 双稳态触发器EDA 实验实验数据:R 0 0 S 0 1 Q 保持 111010不定结论: 通过测试,验证了RS触发器的功能。32 二、JK触发器(一)主从JK触发器 1.电路组成 两个可控RS触发器通过一 个非门(反相器)相连,分别称 SD 主触发器和从触发器。 从 RS 触 发 器 的 状 态 就 是 主从触发器的状态。 主触发器具有双RS端,其中 一对输入端标以J、K端。第一节 双稳态触发器一种功能完善,应用极广泛的电路。Q Q从触发器S C Q R RD Q主触发器S C R133JCPK 第一节 双稳态触发器反相器作用:主触发器和 从触发器分别得到相位相反 的时钟信号,把接收输入信 号和改变输出状态从时间上 分开。 CP=1期间: 主触发器接受输入信号J和 K,从触发器被封锁,状态不 变。 CP由1→0时: 主触发器被封锁,状态不 变,从触发器按照主触发器 的输出状态转换。QQ从触发器S C SD Q 1 0 R RDCPS C RQ主触发器1J0 CP 1K34 第一节 双稳态触发器2.工作原理主、从触发器分两步工作: 第一步:在CP为高电平时: 输入信号 J 、 K存入主触 发器,从触发器状态不变。 第二步:在CP下降为低电 平时: 主触发器中保存的状态传 送到从触发器,使两者状态 一致。而主触发器状态不变。Q Q从触发器S CSD Q CP S C R QRRD主触发器1JCPK35 第一节 双稳态触发器逻 辑 状 态 表J 0 0 1K Qn+1 说明 0 1 0Qn 不变0 1 输出为0 输出为1QQ从触发器S CSD Q CP S C R Q11Qn计数翻转RRD结论:当J=K=1时,每来一个 时钟脉冲下降沿,触发器就 翻转一次,具有计数功能主触发器1JCPK36 逻辑符号Q Q14 13第一节 双稳态触发器 UCC Sd CP K312 11K2 K1 Q10 9 8JCKCT10721 2 3 4 5 6 7K Rd Sd J CP Q QRD J1 J2 J3Q GNDJCCT1072型外引线排列图&&K 多输入结构 J=J1?2 J37CP Sd J J K K Rd 1 2 1 2 第一节 双稳态触发器延迟输出――触发器输出状态的更新滞后于 输入信号的接收。 前沿处主触 发器翻转 后沿处从触 发器翻转CPCP为高电平做准备,CP下降沿来时才翻转, 隔离了信号的接收和输出过程,有效防止了空 翻现象。38 第一节 双稳态触发器3.“一次变化”问题 设现态为Qn=0,当J=K=0时, 应当维持0状态不变。 若在CP=1期间,因外界干 扰使J由0变成1,主触发器置1。 当干扰消失后,主触发器保持 置1态。Q Q从触发器S RSdQ? CPRdQ?当CP下降沿到达时,从触发 器翻转到置1态,而不是维持原 状态0不变。 后果:抗干扰能力差。主触发器S R1JCPK39 归纳逻 辑 状 态 表主从JK触发器特点 J 0 0 K Qn+1 说明 0 1第一节 双稳态触发器Qn 不变0 输出为0QQ11Q01Q1输出为1SD从触发器S C Q CP R RD QQn 计数翻转逻 辑 符 号主触发器JCKS CR140K Rd Sd J CPJCPK 归纳第一节 双稳态触发器主从JK触发器特点(1)具有置数、记忆、计数功能。(2)边沿触发方式――在CP=1期间接收 输入信号,在CP下降沿到来时触发翻转。(3)克服了触发器空翻现象:主从触发器 把信号的接收和输出分为两个过程,任何时 刻输入信号都不会影响输出的状态。41 第一节 双稳态触发器4.具有边沿触发方式的JK触发器 为了增强抗干扰能力。触发器仅仅在时钟CP跳转时刻(脉冲的上升 沿或下降沿到来时)才发生翻转,而在CP=1或 CP=0期间,触发器的状态保持不变。输入端的 任何变化都不影响触发器的次态输出。 常用集成边沿触发器有:双JK边沿触发器:CT、CT2108等。单JK边沿触发器:CT(下降沿触发 42 )、CT1070(上升沿触发)。 第一节 双稳态触发器[例7-1-3]某型号主从JK触发器,试画出输出 端Q的波形图。 CP下降沿处翻转CP J K Q111011 111 01 01Q43 第一节 双稳态触发器三、D触发器多为维持阻塞型D触发器。逻辑符号Q Q1.边沿触发方式在时钟脉冲CP上升沿到来时接 收输入信号,同时改变输出状态。 在CP周期的其他时间,触发器的 输出状态与输入信号无关。 2.功能 在时钟脉冲CP触发后,输出状 态就是输入端D的状态。CDRdSdCP DQn+1=D上升沿触 发翻转44 第一节 双稳态触发器工作波形图CP上升沿 处翻转CP CP来前D状态CP来后 Qn+1 = DD101QQn+1 = D0 时钟脉冲到来之后Q的状态 和它来到之前D的状态一样45 归纳逻 辑 状 态 表D触发器特点 D0 1 Q第一节 双稳态触发器Qn+10 1 Q1.边沿触发方式――在CP 上升沿到来时触发翻转。 2.克服了触发器空翻现象。 3.增强了抗干扰能力。逻 辑 符 号SdCD46CP DRd 第一节 双稳态触发器[例7-1-4]已知逻辑电路如图(a),分析其逻辑 功能。已知输入信号D和时钟脉冲CP的波形 如图(b), 试画出输出端Q的波形。 Q Q 当J=D,K=D时,即K=J,K、J 状态总是相反。 JK触发器 KRdJSd DCDn 0 1J 0 1K 1 0Qn+1 0 1CP1 (a)非门Qn+1 = Dn逻辑功能与D触发器相同。47 第一节 双稳态触发器[例7-1-4]已知逻辑电路如图(a),分析其逻辑 功能。已知输入信号D和时钟脉冲CP的波形 如图(b), 试画出输出端Q的波形。CP下降沿处翻转CP D1111 1110Q010(b)48 第一节 双稳态触发器[例7-1-5]已知逻辑电路如图(a)、(b),分析 其逻辑功能。 Q Q D触发器Q n?1 ? Qn具有计数功能,即来一个 CP,触发器就翻转1次S C11D R(a) 具有计数功能的触发器称T′触发器。49 QQ J-K触发器第一节 双稳态触发器T 0Qn+1 说明 Qn 保持JSdCKRd1CPQn计数当T=J=K,两 触发器状态相同T具有此种计数功能的触发器称T触发器。 结论:根据需要,可将某种逻辑功能的触发器 通过简单连线或附加控制门而转换为另一种逻辑 功能的触发器。50 归纳第一节 双稳态触发器触发器的电路结构演变过程 公共结构基本RS触发器两个“与非门”构成可控RS触发器四个“与非门”构成接受时钟控制主从JK触发器八个与非门构成克服空翻现象边沿D触发器六个与非门构成增强抗干扰能力51 归纳有 空 翻 触 发 器触发器的类型第一节 双稳态触发器RS 电路具有两个稳定状态,在无外来触 触 发信号作用时,电路保持原状态不变。 发 在外加触发信号时,电路触发翻转 器同 有计数功能:引入一个公用同步信号, 步 来一个计数脉冲,电路翻转一次,计数 RS 一次 触 电平触发式――触发器状态在 CP = 1 发 期间翻转,在CP = 0 期间保持不变 器 52 归纳主 从 触 发 器 边 沿 触 发 器触发器的类型第一节 双稳态触发器无 空 翻 触 发 器CP = 1 期间,主触发器接收输入信号 CP = 0 期间,主触发器保持 CP 下降沿 之前状态不变,而从触发器接受主触发器 状态 主从触发方式――主从触发器的状态只 能在 CP 下降沿时刻翻转 只能在 CP 上升沿(或下降沿)时刻接收输 入信号 边沿触发式――电路状态只能在 CP 上升 沿(或下降沿)时刻翻转 53 归纳相 同 处第一节 双稳态触发器主从触发器和边沿触发器异同处 只能在 CP 边沿时刻翻转,因此都克服了 空翻,可靠性和抗干扰能力强,应用范围广相 异 处①电路结构和工作原理不同,因此电路功 能不同 ②为保证电路正常工作,要求主从 JK 触 发器的 J 和 K 信号在 CP = 1 期间保持不变; 而边沿触发器没有这种限制,其功能较完善, 应用更广 54 基本RS触发器SD 1 0 1 0 S 0 1 0 1 RD 0 1 1 0第一节 双稳态触发器 JK触发器Qn0 1 0 1 0 1 0 1Qn+1置0 置1 保持 不定JK Qn+10 01 10 10 1Qn 保持01置0置1Qn 计数可控RS触发器R Qn+1 0 Qn 0 1 1 0 1 ? 保持 置 1 置 0特性表D触发器D 0 1 Qn+1 0 155不定 一、数码寄存器 二、移位寄存器 第二节 寄存器概述1.寄存器:用来暂时存放指令、参与运算的数 据或结果等的重要的数字电子部件。 2.组成:主要由具有存储功能的双稳态触发器 组合而成。 一个触发器可以存放1位二进制代码,要存放 n位二进制代码,需用n个触发器来构成。 3.分类:从功能上分,有数码寄存器、移位寄 存器。4.应用:非常广泛,是数字测量和数字控制系 统中常用的部件,是计算机的主要部件之一。57 第二节 寄存器一、数码寄存器(一)用D触发器组成的寄存器 取出数码 Q3 Q2 Q1D Q C D Q D Q C D Q C4个D触发器 Q0Q清零脉冲清零RD CP 寄存指令F3F2CF1F0第四位第三位第二位第一位d3 待存数码d2d1 4位数码寄存器d0 接收脉冲58 第二节 寄存器1.工作原理 由清零脉冲、接收脉冲、取数脉冲控制。(1)清零:使各触发器复位。(2)存放数码:设寄存数码为1010,将其送至 各触发器的D输入端,当接收脉冲上升沿到达时, 触发器F3、F1翻转为1态,F2、F0保持不变,使Q3、 Q2、Q1、Q0= d3、d2、d1、d0=1010,待存数码 就暂存到寄存器中。(3)取出数码:各数码在输出端Q3、Q2、Q1、 Q0同时取出。每当新数据被接收脉冲打入寄存器 后,原存的旧数据便被自动刷新。59 第二节 寄存器取出数码1010Q3 01D QQ2 0D Q CQ1 0 1D Q C QQ0 0 清零脉冲清零RD CP 寄存指令60D Q CF3F2CF1F0第四位 d3 1第三位 d2 0第二位 d1 1第一位 d0 0待存数码4位数码寄存器接收脉冲上 升沿到达 第二节 寄存器2.CT1175触发器型4位集成寄存器 任意态 CT1175逻辑功能表CR0 1 1 1CP? ? ? 0D4~ D1? 1 0 ?Q0 1 0Q1 0 1功清 送能除 数 数 持61送 保Q0 Q0 第二节 寄存器 (1)异步清零 无论寄存器原为何种状态,只 要清零端CR=0,即可使输出端Q4~ Q1全部清零,而与时钟无关。 (2)并行输入输出 当CR=1时,CP脉冲上升沿使 Q4、Q3、Q2、Q1=D4、D3、D2、D1, 而Q4~Q1则以反码方式输出数据。 (3)保持 当CR=1且CP=0时,寄存器 保持原来状态。CR 1Q 1Q 1D 2D 2Q 2Q GND116VCC4Q 4Q 4D 3D 3Q 3Q CP23 4 567 8C 15 T 14 1 13 1 12 7 11 5 10 4 9寄存器CT11754 的管脚引线图62 第二节 寄存器(二)用门电路构成的锁存器型寄存器 锁存二进制信号,使之稳定。 1.特点1D0 1Q0 1Q0 1LE 1 16VCC2D1 2Q1 2Q1 2LE 2Q0 2Q0 2D023 4 5①由门电路构成;②只有当选通信号LE=1时, 1Q1 才能接收信号。 1Q1 2.CT4375锁存器的组成1D1 GND67 8C T 14 4 13 3 12 7 11 5 10915是双2位的,由两个独立的 锁存器单元构成,有独立的选 4位锁存器CT4375 63 管脚引线图 通输入信号LE。 第二节 寄存器接收信号D0 选通信号 LE D1 1 & 接收信号 & 1 &≥11Q0 Q0&≥1Q11Q14位锁存器CT4375单元逻辑电路64 第二节 寄存器CT4375锁存器型寄存器逻辑功能表 D 0 1 LE 1 1 Q 0 1 Q 1 0 功 置 0 置 1 能?0Q0Q0保 持(三)寄存器阵型寄存器 1.组成和特点:由多组寄存器组成,排成阵列。 可寄存多字位信息。 2.CT4170寄存器阵型寄存器:4×4寄存器阵, 可存放4个4位二进制数。如采用OC(集电极开 路)结构,可接成“线与”方式,广泛用于计算 65 机中。 第二节 寄存器二、移位寄存器不仅能寄存数码,还有移位功能。即在 移 位脉冲作用下实现数码逐次左、右移 单向移位寄存器 左移寄存器 右移寄存器 双向移位寄存器 左移 寄存器 右移 寄存器 双向 移位 寄存器66移位分类例: Q3Q2Q1Q0 = 0001, 左移为Q3Q2Q1Q0 = 0010 第二节 寄存器(一)J-K触发器组成的四位移位寄存器 Q3 Q2 Q1J KQ0数码输入Q JQQF3 C QKF2C QJ F1 C KQJ K 1D清零F0 C QQRD CP串行输入:寄存的数码从高位到 低位依次送到第一个触发器。移位脉冲67 第二节 寄存器工作原理:清零:工作之初先清零。然后把寄存的二进制数 1011从高位到低位依次串行送到D端。 D=1时:第一个移位脉冲的后沿来到时,触发 器F0翻转,Q0=1,其它触发器仍然保持0态。 D=0时,第二个移位脉冲的后沿来到时使F0 和F1同时翻转,由于F1的J端为1,F0的J端为0, 所以Q1=1,Q0=0,Q2和Q3仍为0。 依此类推,移位一次,存入一个新数码,直到第 四个移位脉冲的后沿来到时,四个数码便依次全部 68 寄存到寄存器中,存数结束。 第二节 寄存器移动寄存器中数码移动情况的状态表移位脉冲数寄存器中的数码0 1 2 3 4Q3 0 0 0 0 1Q2 0 0 0 1 0Q1 0 0 1 0 1Q0 0 1 0 1 1移位过程清零 左移一位 左移二位 左移三位 左移四位并行输出:从输出端同时输出若干数码。 串行输出:从输出端逐次(位)输出若干数码。69 第二节 寄存器(二)CT4194四位双向移位寄存器 功能较强,除了清零和保持功能外,还可左 移右移,并行输入、并行取出数据。 CT4194型四位双向移动寄存器逻辑功能表 CR 0 1 2 3 4 CP M1 M0 功 能直接清零 保持 右移(Q0向Q3顺序移位) 左移(Q0向Q3顺序移位) 并行输入70?? ? ? ?? ?0 0 1 1 0 1 0 1 时钟脉冲输入端UCC Q0 Q1 Q2 Q3 CP M1第二节 寄存器M0工作方式 控制端Q0 Q1 Q2 Q3 CP M1 CR RCT4194D 0 D1 D2 D3M0 L清零端 右移串行 输入端CR DSR D0D1D2 D3DSL GND并行输入左移串行 输入端71CT4194四位双向移 位寄存器的管脚图 第二节 寄存器CT4194的功能和工作过程: (1)清除:当CR=0时,各触发器清0,清除原有的数据,输出端Q0~Q3均为低电平。(2)保持:当M0和M1均为低电平时,CP被禁止,各触发器不动作,处于保持状态。在时钟脉冲(CP)上升沿作用下,并行数据D0~D3被送入 相应输出端Q0~Q3。此时串行数据输入DSR、DSL被禁止。(3)并行输入:当工作方式控制端M0、M1均为高电平,时,在CP上升沿作用下进行右移操作,数据由DSR送入。(4)右移串行输入:当M0为高电平、M1为低电平在CP上升沿作用下进行左移操作,数据由DSL送入。(5)左移串行输入:当M0为低电平、M1为高电平时,72 第二节 寄存器[例7-2-1]应用举例:串行加法器。 串行加法器是实现两个二进制数逐位依次相加 的部件。串行加法器电路图73 第二节 寄存器串行加法器的工作过程: (1)先将各寄存器、触发器清零。(2)令SRG4(1)、SRG4(2)处于并行输入状 态,利用送数脉冲将加数A3A2A1A0和被加数 B3B2B1B0分别送入相应的寄存器中。(3)在移位脉冲CP作用下,SRG4(1)和 SRG4(2)中的数据逐次右移(低位在前,高位 在后),并在全加器中逐位(串行)相加。 (4)每次相加结束,本位和数Si存入寄存器 SRG4(3)中,进位数Ci存入进位触发器FC中, 供全加器下一位相加时使用。74 归纳第二节 寄存器寄存器1.寄存器 用来存放二进制数据或代码的电路,是一种基 本时序电路。任何现代数字系统都必须把需要处 理的数据和代码先寄存起来,以便随时取用。 2.分类:寄存器分为数码寄存器和移位寄存器 两大类。 3.移位寄存器:数据可以在移位脉冲作用下依 次逐位右移或左移。75 一、二进制加法计数 器 二、十进制加法计数器 第三节 计数器概述1.计数器――一种累计输入脉冲数目的逻辑部件。 2.分类 按计数功能分 加法计数器 减法计数器 二进制计数器 十进制计数器 N进制计数器 按内部各触发器 的动作步调分 异步计数器 同步计数器计数器按计数进位制分3.用途――用于定时、分频及进行数字运算等。 77 第三节 计数器一、二进制加法计数器1.概念 二进制只有0和1两个数码,加法规律是逢 二进一,即0+1=1,1+1=10。也就是每当 本位是1再加1时,本位就变为0,而向高位进 位,使高位加1。 由于双稳态触发器有0和1两个状态,所以一 个触发器可以表示一位二进制数,如果要表示n 位二进制数,就要用n个双稳态触发器。 要实现四位二进制加法的计数则必须用4个双 稳态触发器。 n位二进制加法计数器,能记最大十进制数n782 -1 4 位 二 进 制 加 法 计 数 器 最高位恢 复原始状 态0000计数 脉冲数0 1 0 0二Q3 0 0 Q2进Q1 0 0制Q0 0 1十进制0 12 34 5 6 7 8 9 10 11 12 13 14 15 160 00 0 0 0 1 1 1 1 1 1 1 1 00 01 1 1 1 0 0 0 0 1 1 1 1 01 10 0 1 1 0 0 1 1 0 0 1 1 00 10 1 0 1 0 1 0 1 0 1 0 1 02 34 5 6 7 8 9 10 11 12 13 14 15 0 79 第三节 计数器2.特点:每来一个脉冲,最低位触发器翻转一次,而 高位触发器是在相邻的低位触发器从1变为0进 位时翻转。3.分类 异步计数器 二进制加 法计数器 同步计数器80 第三节 计数器(一)异步二进制加法计数器 1.电路组成 Q3 Q2 Q0 Q1QQ J Q4个主从型J- K触发器计数脉冲 J F3 C K JQJ CP 清零 RDF2 C KF1 C KF0 C KJ、K端悬空, 相当于1C端与相邻低位触 发器的Q端相连81最低位触发器每来一个计数脉冲就翻转一次,高位触 发器只有当相邻的低位触发器从1变0,而向其输出进位脉 冲时才翻转。 第三节 计数器2.异步和同步计数器的区别异步计数器:计数脉冲CP 不是同时加到各位触 发器。各位触发器状态变换的时间先后不一,只有 在前级触发器翻转后,后级触发器才能翻转。 优点: 电路较简单。 缺点:各触发器逐级翻转,工作速度较慢。 同步计数器:计数脉冲CP 同时接到各个触发器, 各触发器状态的变换与计数脉冲同步。 优点:各触发器同步翻转,工作速度快。 缺点:电路较复杂。82 第三节 计数器3.工作过程 ①清零:RD为负脉冲,Q=0。 ②第一个CP后沿来时:F0翻转,Q=1,F1~F2状态不变。 ③第二个CP后沿来时:F0、F1翻转,F2状态不变。 ④Q0每来一个CP后沿,改变一次。而Q1、Q2看 前一位的后沿而定。Q3 0QQ2 0QQ1 0 1JQQ0 00 1Q J下降沿 触发翻转计数脉冲J F3 C KJF2 C KF1 C KF0 C KCP清零83RD 工作波形图第三节 计数器 第三节、计数器 每个触发器翻转的时 间与计数脉冲不同步1C Q0 Q1 Q2 Q323456782分频 4分频8分频Q0:f =1/2f0 二分频 f =1/4f0 四分频84分频概念: CP:f = f0Q1: 第三节 计数器(二)同步二进制加法计数器 特点:各个触发器的时钟脉冲为同一个计数 输入脉冲,它们状态的更新是同时的。 Q3 Q2 Q1J K QQ0多个J端和K端QJ KQJ KQJ K 清零F3F2F1F0RDJ端之间和K端之间是与逻辑关系CP对每一个触发器而言,只有几个 85 J端全为1时,J端是1,否则是0。 计数脉冲 第三节 计数器1.工作原理 当J=K=1时,计数脉冲使触发器翻转。 当J=K=0时,计数脉冲来到触发器CP端后 触发器状态不变。 (1)F0:每来一个计数脉冲就翻转一次。 故J0=K0=1。 (2)F1:在Q0=1时再来一个脉冲才翻转一次, 故J1=K1=Q0。 (3)F2:在Q1=Q0=1时再来一个脉冲才翻转 一次,故J2=K2=Q1Q0。 (4)F3:在Q2=Q1=Q0=1时再来一个脉冲才 翻转一次,故J3=K3=Q2Q1Q0。86 4 位 二 进 制 加 法 计 数 器 最高位恢 复原始状 态0000计数 脉冲数0 1 0 0二Q3 0 0 Q2进Q1 0 0制Q0 0 1十进制0 12 34 5 6 7 8 9 10 11 12 13 14 15 160 00 0 0 0 1 1 1 1 1 1 1 1 00 01 1 1 1 0 0 0 0 1 1 1 1 01 10 0 1 1 0 0 1 1 0 0 1 1 00 10 1 0 1 0 1 0 1 0 1 0 1 02 34 5 6 7 8 9 10 11 12 13 14 15 0 87 第三节 计数器计数器溢出――在4位二进制计数器中, 当输入第16个计数脉冲时,计数器返回原始 状态0000,若有第5位触发器,应为10000 (二进制16),但现只有4位触发器, 10000 记录不下来,称计数器溢出。4位二进制加法计数器,能记最大十进制 数15: 4 2 - 1=15 1个4位二进制加法计数器也是个1位十六进 制加法计数器,“逢十六进一”。88 第三节 计数器Q2[例7-3-1]分析逻辑电路的逻辑功能,说明其 用途。设初始状态为000。 Q1 Q0Q J F1C KQJ KQJ KF2CF0CCP计数脉冲RD 清零89 第三节 计数器[例7-3-1]分析逻辑电路的逻辑功能,说明用途。解:(1)各触发器的J、K端的逻辑关系式: F0:J0=Q2, K0=1F1:J1=1,F2:J2=Q1? 0, QK1=1K2=1(2)因初始状态为000,故 各触发器J、K端的电平: F0:J0=1, F1:J1=1, K0=1 K1=1JK触发器 J K Qn+1 0 0 Qn 保持0 1 1 1 0 1 0 1 置0 置1 计数90F2:J2=0,K2=1Qn 第三节 计数器[例7-3-1]分析逻辑电路的逻辑功能,说明用途。根据J-K触发器的逻辑功能,当第一个计数脉 冲来到时得出各触发器的下一状态。由于第二个 触发器F1的触发信号来自Q0,所以它只在Q0从1变 0时才能翻转,因此第二个计数脉冲来到时只有F0 翻转,其它不翻转,故下一状态为001。 再以001分析下一状态。这时F0和F1都翻转,得 出010。以此类推,列出下页的状态表。 可见,累计数递增,经过5个计数脉冲循环一次, 所以该逻辑电路是一位五进制加法计数器。由于 计数脉冲不是同时加到各触发器,因此它是异步 91 计数器。 第三节 计数器[例7-3-1]分析逻辑电路的逻辑功能,说明用途。 逻辑电路状态表原状态Q1? Q2控1 1制1端 K1 =1Q3下状态 Q3 Q2 Q1CP Q3 Q2 Q1 J3 = K3 =J2 = K2 = J1 =0 1 2 3 4 50 0 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 00 0 0 1 01 1 1 1 11 1 1 1 11 1 1 1 11 1 1 1 01 1 1 1 10 0 0 0 0 1 0 1 0 0 1 1 1 0 0 0 0 0 置092置0 第三节 计数器2.TTL中规模集成二进制计数器 以CT4169型4位二进制可逆(加/减)计数器 为例。 任意态 CT4169逻辑功能表LD CTp CTT U/D CP D3 D2 D1 D0 0 ? ? ? ? d3 d2 d1 d0 Q3 Q2 Q1 Q0 d3 d2 d1 d0110000 ? 110 ? ??? ? ??? ? ?? ?? ? ? ??? ?减法计数加法计数 保持 保持931 1 1 ?? ? ? 第三节 计数器CP:计数器时钟脉冲输入端 D3、D2、D1、D:预置数输入端 Q3、Q2、Q1、Q0:计数器输出端 CTP、CTT:计数控制端 U/D(down UP):可逆计数控制输 入端(1:加法计数,0:减法计数)。 CO:进位/借位输出端U/D CP D0 D1 D2 D3 CTP GND1216VCCCO Q0 Q1 Q2 Q3 CTT LD34 5 6 7 8C T 4 1 6 9151413 12 11 10 9CT4169管 脚外引线图94 第三节 计数器CT4169的功能 (1)同步预置:可使计数器从某一预置值开 始,当达到计数最大值并产生进位(借位)信号 时,使预置控制有效,计数器接受预置值并从该 值开始新一轮计数。因而可通过设置不同的预置 值来构成任意制的计数器。 (2)同步加/减法计数:当LD=1、CTP和 CTT=0时:若U/D=1,对CP脉冲加法计数。若U/D=0,进行减法计数。计数时,Q3~Q0同 时变化,故为同步计数。 (3)保持:LD=1、CTP和CTT至少有一端为1时, 95 计数器保持原来状态不变。 第三节 计数器用CT4169构成的五进制加法计数器 用4位二进制计数器,构成五进制计数器,需 采用反馈置数法。4位二进制 计数器U/D LD CPQ3Q2Q1Q0CT4169D3 D2 D1 D0CTP计数 脉冲CTTCO高位计数器1 CT4169构成的五进制计数器的接线图96 第三节 计数器反馈置数法――利用重复预置某个数值的方 法,使计数器跳过若干不需要的状态,得到任意 进制的计数器。CT4169的预置数应为24-5=11(即二进制数 1011),现将预置端D3~D0置为1011状态,U/D 端为1。计数器从初始1011开始计数。 第1个CP脉冲来到时,计数值为1100,第4个 CP脉冲来到时,计数值为1111,第5个CP脉冲来 到时,计数器变为0000。 此时进位端CO向高位送出一个进位脉冲, 并使预置数控制端LD=0,将预置数重新送入计 数器,开始下一轮计数。97 第三节 计数器二、十进制加法计数器(一)概念 4位二进制加法计数器的计数状态有16个,为了 表示十进制数的10个数码,需要去掉6种状态。至 于去掉哪种,可有不同的编码方法。 常用的8421BCD编码方式,是取4位二进制数前 面的来表示十进制的0~9十个数码,而 去掉后面的。 要求4位二进制计数器从0000开始计数,到第九个 脉冲作用后变为1001,再输入第十个脉冲返回初始 状态0000。经过10个脉冲循环一次,实现“逢十进 一”。98 84 计数 21 脉冲 十 数 进 0 制 1 加 2 法 3 计 4 数 5 器 67 8Q300 0 0 0 0 0 0 1二进制数 Q2 Q100 0 0 1 1 1 1 0Q001 0 1 0 1 0 1 0十进 制数01 2 3 4 5 6 7 800 1 1 0 0 1 1 0归零910100000109进位99 计数 脉冲Q300 0 0二 Q200 0 0进 Q100 1 1制 Q001 0 1十进 制01 2 3二 进 制 加 法 计 数 器 F3翻 转为0 F1不 翻转01 2 345 6 700 0 011 1 100 1 101 0 145 6 789 10 1111 1 100 0 000 1 101 0 189 10 111213 14 1511 1 111 1 100 1 101 0 11213 14 1510016000016 第三节 计数器(二)工作原理 Q3 Q2J KQ1JKQ0J K RDQJ KQQQF3 CQF2 CF1 CF0CCP J端之间和K端之间是与逻辑关系 计数脉冲101 第三节 计数器1.各触发器状态变化规律(1)F0: J0=K0=1 ,每来一个计数脉冲就 翻转一次。 (2)F1:J1= Q3 Q0 , K1= Q0,在Q3=1和Q0=1 时再来一个脉冲才翻转一次。(3)F2:J2=K2= Q1 Q0 ,在Q1=Q0=1时再 来一个脉冲才翻转一次。 (4)F3:J3=Q2 Q1 Q0 ,K3=Q0,在Q2=Q1= Q0=1时来到第八个计数脉冲才由0翻转为1, 而在第十个计数脉冲时由1翻转为0。发出溢出 或向高位送出进位信号。102 第三节 计数器2.工作过程 (1)初始状态为0000,J0=K0=1,J1=K1=0, J2=K2=0,J3=K3=0,在第一个计数脉冲作用下, F0翻转为1,使Q0=1,其他触发器不翻转,保持0 态,计数器状态为0001。 (2)再根据Q3、Q2、Q1、Q0=0001,求得各触 发器控制端的电平,由此可得第二个计数脉冲作用 后的下一状态为0010。 (3)以此类推,当Q3、Q2、Q1、Q0=1001时, 有J0=K0=1,J1=0,K1=1,J2=K2=0和J3=0, K3=1,所以当第十个计数脉冲到来时,使F0翻转为 0,F3翻转为0,F2和F1保持0态不变,因此得到 103 Q3Q2Q1Q0=0000,又回到初始状态。 十进制加法计数器时序表计数 脉冲第三节 计数器控 制 器 F3 F2 F1 CP Q3 Q2 Q1 Q0 J3 = K3 = J1 = K2 = J1 = K1 =Q2Q1Q0 Q0 Q1Q0 Q1Q0 Q3Q0计数器状态Q0F0 J0 = 1 K1 =10 1 2 3 4 5 6 7 8 9 100 0 0 0 0 0 0 0 1 1 00 0 0 0 1 1 1 1 0 0 00 0 1 1 0 0 1 1 0 0 00 1 0 1 0 1 0 1 0 1 00 0 0 0 0 0 0 1 0 0 00 1 0 1 0 1 0 1 0 1 00 0 0 1 0 0 0 1 0 0 00 0 0 1 0 0 0 1 0 0 00 1 0 1 0 1 0 1 0 0 00 1 0 1 0 1 0 1 0 1 01 1 1 1 1 1 1 1 1 1 11 1 1 1 1 1 1 1 1 1 104 1 第三节 计数器3.工作波形图 1CP2345678910Q0Q1 Q2 Q30 0 0 0105 第三节 计数器(三) TTL中规模集成十进制计数器 CT4190同步十进制可逆计数器 CT4190逻辑功能表 LD CT 0 1 U/ D CP D3 D2 D1 D0 Q3 Q2 Q1 Q0?0?0??d 3 d2 d1 d 0 d3 d2 d 1 d0 ? ? ? ?加法计数11011???? ??减法计数保 持106??? ? ? 第三节 计数器CT4190的功能 (1)异步预置:当LD=0时, 无论时钟端CP处于什么状态, 都可将输出端Q3~Q0预置成 D3~D0端的输入数d3~d0。 (2)同步加/减法计数:当 LD=1、CT=0时,若U/D=0, 为加法计数;若U/D=1,为减法 计数,片内为并行进位,所以是 同步计数。多一个行波 时钟输出端D1 Q1 Q0 CT 1 16VCCD0 CP CR CO/BO LD D2 D323 4 5 6 7 8U/DQ2 Q3 GNDC T 4 1 9 01514 13 12 11 10 9(3)保持:当LD=1、CT=1 时,计数器保持原来状态不变。 CT4190管脚引线图107 第三节 计数器(4)级间进位/错位。 当计数上溢(加法计数)或下 溢(减法计数)时:进位/错位端 CO/BO输出一个宽度约为CP脉 冲周期的高电平脉冲; 而行波时钟输出端CR则 输出一个宽度为CP低电平部 分的低电平脉冲。D1 Q1 Q0 CT 1多一个行波 时钟输出端16VCCD0 CP CR CO/BO LD D2 D323 4 5 6 7 8U/DQ2 Q3 GND因而利用CR端可以将N片 CT4190级联为N位十进制同步 计数器。C T 4 1 9 01514 13 12 11 10 9CT4190管脚引线图108 第三节 计数器(三)任意进制计数器 利用二进制计数器或十进制计数器外部不 同方式的连接可构成任意进制计数器。 常有两种方法: 反馈置零法:利用计数器的清零端强迫计数 器清零,电路跳过某些状态,重新开始新一 轮计数。可用已有的计数器得出小于原进制 的计数器。 反馈置数法:利用预置数复位。 如何构成 N 进制计数器?109 第三节 计数器由4位二进制同步计数器CT4161构成的十 进制计数器。 第十个计数脉冲来时10 0 1 0 0与非门 &0计数控制端1 CTP 计数 输入 CTT CP D3 D2 D1 Q3 Q2 Q1 Q0 COG进位 输出 1CT4161LDCR D0(a)基本电路预置输入清零行波时钟 输出端110 第三节 计数器图(a)中,当它的复位端CR=0时,其输出端 Q3~Q0被全部清零。设计数器从0000开始计数,输入第九个计数 脉冲之后,计数器状态为1001,第十个计数脉冲 的上升沿使计数器状态成为Q3Q2Q1Q0=1010。 此时与非门G的输出为CR=Q3? 1=0,使得 Q 计数器异步(即与CP状态无关)清零,回到初始 状态,并从CO端输出一个进位信号。 电路接线简单,但可靠性比较差。动作稍慢 的触发器来不及复位,计数器不能正常清零。111 第三节 计数器利用基本R-S触发器维持清零信号。 第十个计数脉冲来时 与非门100 1 0 0基本R-S 触发器Q 01G &0R1Q3Q2Q1Q0 CO LD CR D0 1SQCTP计数 输入 0 CTT CPCT4161D3 D2 D1清零行波时钟 输出端(b)改进形式112 第三节 计数器图(b)改进形式当第十个计数脉冲使计数器进入1010状态后, 与非门G输出低电平,使基本R-S触发器复位, Q端输出的低电平将使CT4161清零,这时虽然G 门低电平输出信号消失,但基本R-S触发器状态 不会发生改变,将维持清零信号。直到计数脉冲CP回到低电平,基本R-S触发器 被置1,计数器的清零信号才消失。 可靠性比较强。113 第三节 计数器[例7-3-2]分析二-五-十进制计数器CT4090的逻 辑功能。 清零 十进制数9 Q3 Q2 Q1 Q00 1 0 0 0 1 SD Q J F3 C K Q RD SD Q J F0 C Q K RD时钟脉冲 CP0J F2 C K RDQQJ F1 C Q K RD0&置9输入 (a)逻辑图R9(1) R9(2) 1 1CP1 0 清零输入 & R0(1) R0(2) 114 1 1 第三节 计数器清零时,至少有一端 为0,保证可靠清零 CT4090十进制计数器的功能表R0(1) R0(2) 1 0 1 R9(1) 0 ? 1 R9(2)?Q3 Q2 Q1 Q0 0 1 0 0 计 计 计 计 0 0 数 数 数 数 0 1? ?0 0?0 00 1?? ??0 0 00? ? ?0115 第三节 计数器[例7-3-2]分析二-五-十进制计数器CT4090的逻 辑功能。 试分析:(1) Q0 Q3 GND Q1 Q2 CP0 只输入计数脉冲 8 14 13 12 11 10 9 CP0时,是几进制 计数器?(2)只 输入计数脉冲CP1 CT4090 时,是几进制计数 器?(3)将Q0端 与CP1端连接,输 1 6 2 4 7 3 5 入计数脉冲CP0, CP1 R0(1) R0(2) UCC R9(1) R9(2) 是几进制计数器?116 (b)CT4090外引线排列图 解:第三节 计数器(1)只输入计数脉冲CP0,由Q0端输出,F1~F3 三位触发器不用,为二进制加法计数器。 (2)只输入计数脉冲CP1,由Q3、Q2、Q1端输出, 为五进制加法计数器。 (3)各触发器的J、K端的逻辑式是 F0:J0=1, K0=1 F1:J1=Q3, K1=1 F2:J2=1, K2=1 F3:J3=Q2? 1, Q K3=1 然后,从初始状态0000开始逐步由现状态分析得出 下一状态,一直分析到恢复初始状态0000,可知是 117 8421BCD码十进制计数器。 归纳第三节 计数器计数器1.计数器是一种应用十分广泛的时序电路,除用 于计数、分频外,还广泛用于数字测量、运算和控 制,从小型数字仪表,到大型数字电子计算机,几 乎无所不在,是现代数字系统中不可缺少的组成部 分。 2.计数器可利用触发器和门电路构成。但在实 际工作中,主要是使用集成计数器。在用集成计数 器构成N进制计数器时,需要利用清零端或置数控 制端,让电路跳过某些状态电路,重新开始新一轮 计数。 118 一、数/模变换器 二、模/数变换器 第四节 数/模和模/数转换器概述1.转换的意义 模拟量和数字量的互相转换很重要。 要使用计算机对某生产系统进行控制,首先必 须把模拟量转换为数字量,才能送到计算机中进 行处理和运算;然后还要将处理和运算得出的数 字量转换为模拟量,才能驱动执行机构实现对被 控制的模拟量进行控制。 ADC和DAC是沟通模拟电路和数字电路的桥 梁,是计算机与外部设备的重要接口,也是数字 测量和数字控制系统的重要部件,应用非常广泛。120 第四节 数/模和模/数转换器自动控制系统示意图模拟电 信号 被 测 对 象传 感 器 伺服 模拟 信号 处理 功率 放大 模数 转换 数模数字 信号数字 接口 数字 接口121微机机构转换 第四节 数/模和模/数转换器2.转换的概念 模/数转换:将模拟量转换为数字量的过程。 数/模转换:将数字量转换为模拟量的过程。模/数转换器:能将模拟量转换为数字量的电 路,简称A/D转换器或ADC。Analog - Digital Converter数/模转换器:能将数字量转换为模拟量的电 路,简称D/A转换器或DAC。Digital - Analog Converter122 第四节 数/模和模/数转换器一、数/模变换器(一)数/模变换的基本思想 将输入的每一位二进制代码按其“权”的大小 转换成相应的模拟量,然后将各位的模拟量相加, 即可得到与该数字量成正比的总模拟量,实现了 从数字量到模拟量的转换。 输出模 dn-1 拟量 dn-2 n 位二 uO或iO 进制数 DAC d1 输入 d0数/模变换器框图…123 第四节 数/模和模/数转换器输入数字量为:Ui ? dn-1 ? 2 ? dn-2 ? 2每位二进制代码n-1n- 2权? ?? d1 ? 2 ? d0 ? 210模拟量例(1001)2= 1×23+0×22+0×21+1×20 =(9)10 输出模拟电压为:Uo ? Ku (dn-1 ? 2 ? dn-2 ? 2四位二进制代码 16位n-1n- 2? ?? d1 ? 2 ? d0 ? 2 )1 0最低位二进制代码 0000 → 模拟量 0V最高位二进制代码 1111 → 模拟量 +UR124 第四节 数/模和模/数转换器(二)T形电阻网络数/模变换器 1.组成:对4位二进制数字量进行数模转换。 基准 T形电 电压 阻网络 电子模 拟开关0 1 0 1 0 1 0 1运算放 大器 _∞4位二 D3进制数Q3D2 Q2D1 Q1D0 Q0I++数码寄存器125 第四节 数/模和模/数转换器电子开关:S3、S2、S1、S0是各位的电子模拟 开关。 T形电阻网络:由R、2R共8个电阻构成,n位数 -模变换器由2n个电阻构成。它的输出端接到运算 放大器的反相输入端。 运算放大器:与T形电阻网络一起构成反相输 入加法运算电路,输出模拟电压UO。 基准电压源:由UR提供,称为基准电压。 D3D2D1D0是输入数字量:是存放在数码寄存器 中的4位二进制数。输入数码状态分别控制相应各 位开关。126 第四节 数/模和模/数转换器Q=1时开 关接UR 电子模 拟开关 Q=0时开 关接0(地) 0 T形电 阻网络1 01 01 01_∞ 4位二 进制数D3 1 Q3 Q2 D2 0 D1 0 Q1 Q0 D0 1I++数码寄存器各位开关分别受相应 127 输入数码状态的控制 第四节 数/模和模/数转换器2.工作原理 电阻网络的主要特点:不论数字量Dk为1或0, 每节电路的输入电阻都为R,所以D、C、B、A 各节点的电位逐节减半,每节2R支路中的电流也 逐位减半。每经过一级节点,支路的电流衰减I/2。 当Dk 为1时,此电流引入运算放大器的反向输 入端; 当Dk为0时,此电流直接入地,对运放输出电 压UO无影响。128 第四节 数/模和模/数转换器URRUR/2R RUR/4RUR/8每节电路的输 入电阻都为RT形电 阻网络基准电 压源电子模 拟开关0I 21 0I 41 0I 81 0I 161_∞D0 Q0D3 Q3D2 Q2D1 Q1I++数码寄存器电路中D、C、B、A各 129 节点的电位逐节减半 第四节 数/模和模/数转换器每经过一级节点,支路的电流衰减IR/2。 1 UR 1 UR I3 ? I R ? I1 ? I R ? 1 2 R? 2 8 R ? 23 1 UR 1 UR I2 ? I R ? I0 ? IR ? 2 4 R?2 16 R ? 24 电阻网络流出总电流为: 1 1 1 1 I O1 ? ( d 3 ? ? d 2 ? ? d 1 ? ? d 0 ? ) 2 4 8 16 UR ? 4 ( d 3 ? 2 3 ? d 2 ? 2 2 ? d 1 ? 21 ? d 0 ? 2 0 ) 2 R 运放输出模拟电压可表示为: RFU R 130 U O ? - I O1 RF ? (d 3 ? 2 3 ? d 2 ? 2 2 ? d1 ? 21 ? d 0 ? 20 ) R ? 24 第四节 数/模和模/数转换器根据反相比例加法运算电路输出电压与各输入 电压的关系式。 相应的十 4位二进制按 进制数 “权”的展开 K 式 U R ? RF 3 模拟输出量:U O ? (2 ? D3 ? 22 ? D2 ? 21 ? D1 ? 20 ? D0 ) 16R 或:UO ? - K (2 ? D3 ? 2 ? D2 ? 2 ? D1 ? 2 ? D0 )3 2 1 0由此推广到一般情况,若有n位二进制Dn-1Dn-2Dn3…D2D1D0,其相应的十进制数是N=2n-1? n-1+2n-2? n-2+…21? 1+20? 0 D D D D131 第四节 数/模和模/数转换器如果将其输入到n位数/模变换器中,相应的 输出模拟电压为:1 RF K ?- n? 2 R 例如:对4位数-模变换器,当D3D2D1D0=1001时,UO ? 9 ? RF UR 16RUO ? K ? (2 ? Dn-1 ? 2 ? Dn- 2 ? ?2 ? D1 ? 2 ? D0 )n -1 n- 2 1 0可见,输入的数字量被转换成模拟量,模拟电 压与输入二进制的大小成正比。实现了从数字量 到模拟电压的转换。 已制成了各种数/模集成电路芯片供选用。 按输入的二进制数的位数分有8位、10位、12 位、16位等。132 第四节 数/模和模/数转换器(三)DAC0832数-模变换器一种带有双缓冲的、分辨率为8位的数/模变换器,功 耗200mW。D713D输入QD数据QD/A 转换器7 D0 19 ILE CS 1 2 WR1 18 WR2 17 XFER寄存器寄存器DQDQ8 9 11 1238位数/模 变换器&AGND VCC DGND&&10DAC0832两个8位 寄存器133 第四节 数/模和模/数转换器1.两种工作方式(1)单极缓冲:输入寄存器处于受控状态, 数据寄存器处于直通状态,输入的数据先送到输 入寄存器,并立即送入数-模变换器完成数模转 换。一般用于一路的数模变换器。 (2)双级缓冲:两级寄存器均处于受控状态, 数字量的输入锁存和数模转换分两步完成,一般 用于多路数模的同步转换。 因此,DAC0832可同时保存两组数据,一组是 即将转换的数据,在数模转换器中,另一组是下 一组数据,在输入寄存器中。134 第四节 数/模和模/数转换器2.管脚功能简介Iout1、Iout2:电流输出端 D7~D0:数据输入端 Rf:反馈电阻 VREF:参考电压输入 VCC:电源电压CS WR1 AGND D3 D21220 19 18 DACVCCILE WR2 XFER D43456AGND:模拟地 DGND:数字地 CS:片选信号 ILE:输入寄存器选通信号 WR1:写信号1 XFER:传输控制信号 WR2:写信号2D1D0 VREF Rf DGND1514 13 12 11D5D6 D7 Iout1 Iout278 9 10DAC0832的管脚引线图135 第四节 数/模和模/数转换器3.应用电路: 配接单片微型计算机8031的单 缓冲方式接口电路 +5V 写信 接高 当地址线选通后,只要 号端 电平P07 P00ILE VCC VREF D7 Rf D0 WR1 Iout1 WR2 CS Iout2 XFER 发出WR信号(WR=0), 就能一步完成数字量的输 入锁存和数模转换DAC08328031WRP27_ ∞A+ +uO136地址选 择线DGND AGND 第四节 数/模和模/数转换器3.应用电路: 组成锯齿波发生器图 AD7520组成的锯齿波发生器 10位二进制加法计数器从全“0” 加到全“1”,电路的模拟输出电压uo 由0V增加到最大值。 如果计数脉冲不断,则可在电 图 AD7520组成的锯 路的输出端得到周期性的锯齿波。 齿波发生器 137 归纳数/模变换器1.功能:第四节 数/模和模/数转换器将输入的二进制数字信号转换成相对应的 模拟信号输出。 2.类型: T形电阻网络D/A转换器等。 由于T形电阻网络D/A转换器只要求两种阻 值的电阻,因此最适合于集成工艺。3.输出模拟电压:UO ? K ? (2 ? Dn-1 ? 2 ? Dn- 2 ? ?2 ? D1 ? 2 ? D0 )n -1 n- 2 1 0138 第四节 数/模和模/数转换器二、模/数变换器将模拟量转换为数字量。 模拟输 入信号 dn-1 dn-2 d1 d0 模/数变换器框图139uiADC输出n 位 二进制数… 第四节 数/模和模/数转换器类型:逐次逼近型 双积分型以逐次逼近型模/数变换器为例。 其转换过程类似天平称物体重量的过程。若 有四个分别重为8、4、2、1g的砝码。称重13g的 物体,可采用下表步骤称量:砝码重第一次 8 g 第二次 加4g 第三次 加2g 比 较 判 别暂时结果8 g 12 g 12 g 13 g 140砝码总重 & 待测重量 ,故保留 砝码总重仍 &待测重量 ,故保留 砝码总重 & 待测重量 ,故撤除 砝码总重 = 待测重量 ,故保留第四次 加1g 第四节 数/模和模/数转换器称量过程遵循的规则:(1)按砝码重量逐次减半的顺序加入砝码;(2)砝码是否保留,取决于加入新砝码后总重 量是否超过待测物体; (3)到最轻的砝码试过后,天平上所有砝码重 量总和就是待测物重量。 逐次逼近型模/数变换器的工作原理与上述过程 十分相似。141 第四节 数/模和模/数转换器(一)电路组成 输出数字量顺序 脉冲发 生器输入电压 Ui电压比 较器逐次逼 近寄存器DACUA顺序脉冲发生器――产生时间上有先后顺序的脉冲。寄存器――输出四位二进制数d3、d2、d1、d0。D/A转换器――这些数码被转换成模拟电压UA。 电压比较器――将待转换的模拟电压Ui与UA进行比较。 逐位比较完毕后,输出数字量去控制寄存器。 输出数字量――模拟电压UA 逼近模拟电压Ui 的值,并 取替Ui,寄存器中的状态就是所要求的数字量。142 第四节 数/模和模/数转换器(二)基本原理顺序 脉冲发 生器输出数字量输入电压 UI电压比 较器逐次逼 近寄存器DACUO1.顺序脉冲发生器输出的顺序脉冲先将寄存器的最高位 置1,使输出数字为100…0。经数/模变换器转换为相应的 模拟电压UO送入比较器与待转换的输入电压UI进行比较。 若UO>UI,说明数字过大,将最高位的1除去。 若UO<UI,说明数字量还不够大,应将这一位的1保留。143 第四节 数/模和模/数转换器输出数字量顺序 脉冲发 生器 逐次逼 近寄存器输入电压 UI电压比 较器DACUO2.然后,再按同样的方式将次高位置成1,并且经过比 较以后确定这个1是否应该保留。 这样逐次比较下去,一直到最低位比较完为止。 待转换的模拟电压UI好比重物,从大到小的一套二进 制参考电压UO好比砝码,两者不断比较,最后,参考电 压UO逐次逼近并取替模拟电压UI 。寄存器的存数就是 输入电压UI转换成的输出数字量。144 第四节 数/模和模/数转换器(三)单片集成模/数变 换器ADC0809IN0~IN7:8个模拟量输入通道 IN5 ADDC、ADDB、ADDA:通道号选择端口 IN6 IN7 D7~D0:数字量输出端 DAC 24 ADDC 23 START START:启动数/模转换 0809 22 ALE EOC EOC:转换结束信号 D3 D7 21 OE:输出允许控制端 20 OE D6 CLK 19 D5 CLK:实时时钟 D4 VCC 18 VCC:电源电压 D0 REF(+) 17 16 REF(-) GND REF(+)、REF(-):外接参考电压接口 D2 D1 15 GND:接地端 ADC0809逐次逼 ALE:地址锁存信号IN3 IN41 2 3 4 5 6 7 8 9 10 11 12 13 1428 27 26 25IN2 IN1 IN0 ADDA ADDB近型模/数变换器145 第四节 数/模和模/数转换器(四)ADC0809的典型应用CP CLK ADDC ADDB ADDA START ALE OE IN0ADC0809 REF(+) REF(-) D0 D7 D0 D7+5VWR CS RD≥1数字量 输出≥1模拟信 号输入EOC去中断ADC0809的典型应用连线图146 第四节 数/模和模/数转换器其中地址输入CBA=000,是选中通道IN0为输 入通道(C、B、A端可由计算机控制,以选择不 同的模拟量输入通道)。 由计算机发出的片选信号CS可使本片模/数转 换器被选中。 写控制信号WR控制模/数转换开始,读控制信 号RD允许输出数字量。 读控制信号RD允许输出数字量。 EOC信号可作为模/数转换器的状态查询信号, 也可作向计算机申请中断处理的信号。147 应用举例 (组成微机数据采集系统。)图 ADC0804组成微机数据采集系统 在工业测控及仪器仪表应用中,经常需要由计算机 对模拟信号进行分析、判断、以及加工和处理,从而达 到对被控对象进行实时检测、控制等目的。148 当需要采集数据时,微处理器首先选中ADC0804, 并执行一条写指令操作,此时ADC0804的CS和WR同时被置为低电平,启动A/D转换,此后,微处理器可以去做其它工作。 100μS后,ADC0804的INTR端由高变低,向微处 理器提出中断申请,微处理器在响应中断后,再次选 中ADC0804,并执行一条读指令操作,此时ADC0804的CS和RD同时被置为低电平,即可取走A/D转换后的数据,进行分析或将其存入存储器中。此时系统便完成了一次数据采集。149 归纳模/数变换器第四节 数/模和模/数转换器1.功能:是将输入的模拟信号转换成一组多位 的二进制数字输出。 2.分类 (1)逐次逼近型ADC 优点:分辨率较高、转换速度较快。 (2)双积分型ADC 优点:具有很高的抗干扰能力。 缺点:工作速度较低,在对转换精度要求较高, 而对转换速度要求较低的场合中广泛的应用,如 数字万用表等检测仪器。 150 一、555定时器 二、555定时器的应用举例 第五节 555定时器555定时器是一种模拟电路和数字电路集成于 一体的中规模集成电路。1972年由西格尼 蒂克斯公司 (Signetics)研制。152 第五节 555定时器 各公司生产的555定时器的逻辑功能与外引线排列都 完全相同。双极型产品 CMOS产品单555型号的最后几位数码双555型号的最后几位数码 优点555556 驱动能力较大75557556 低功耗、高输入阻抗电源电压工作范围负载电流5~16V可达200mA3~18V可达4mA153 第五节 555定时器目前在波形的产生与变换、测量与控制等许多领 域得到了非常广泛的应用。154 第五节 555定时器一、555定时器(一)引脚功能 UCC 电源 D 放电 TH 阈值 TR 触发 RD 复位UCC8 1D TH CO7 6548 74uO 输出5552 3GND TR OUTRD6 555 3 2 1 5引脚功能排列图接地 电压控制 GND CO155 电阻分 (一)组成 +UCC 压器8 5 k? TH CO 6 5 2 3 VCC电压比 较器第五节 555定时器RD 4基本RS 触发器∞ +A + _ 15 k? ∞ +A + _ 2 5 k?TC1 RQ 1 QTR D271 3 VCCS C23uO放电三 极管1156 第五节 555定时器内部器件的作用说明 1.分压器:由3个阻值相等(5kΩ)的电阻组成。 为2个电压比较器A1、A2提供基准电压2UCC/3和 UCC/3。 2.电压比较器:阈值端6和触发端2外加输入 信号与两基准电压比较,决定比较器的输出状 态。2个比较器的输出状态可确定触发器的状态。 3.基本RS触发器 (1)复位端RD:施加0信号时,可使触发器强 制复位,使Q为0。如不需强制复位,通常将复位 端4直接接到电源UCC,保持高电平。157 第五节 555定时器(2) RS触发器的Q经反相驱动器后输出,所以 输出uO的逻辑值与RS触发器的Q端相同。 4.三极管T:起放电作用。放电端7与三极管 T的集电极相连,T的状态受RS触发器Q端控制, 当Q=1时,T导通,外接电容器通过T放电。 5.电压控制端CO:此端外加电压时,可在一 定范围内改变两个电压比较器的基准电压。此脚 不用时,一般通过0.01μF电容接地,以旁路高频 干扰。158 (二)外引脚的功能阈值端 +UCC 8第五节 555定时器 RD 4 复位端电源4.5~ 16V5 k? TH CO6 5 2 3 VCC ∞ +A + _ 1 5 k?C1 RQ 1 Q 3输出端电压控 1 制端 3 VCC TR 2 触发端 7 D 放电端 1∞ +A + _ 25 k?TSuOC2地159 (三)工作原理 电压比 +UCC 较器阈值端 TH CO 6 5 2 3 VCC 8 5 k?第五节 555定时器RD 4基本RS 触发器u+ ∞ u u- +A1+ o R _ C15 k?Q 1 QTR271 3 VCCu+ ∞ u u- +A2+ o _5 k?TS3uOC2触发端 D放电三 极管1Q R S 同相输入式 0 1 置0 反相输入式 u+& u-时, uO=1 1 置1 u-& u+时0 uO=0 , u =0 u+& u-时, O保持 0 0 u-& u+时, uO=1160 1 不定 1 第五节 555定时器(四)555定时器的应用举例1. 构成施密特触发器 思考:施密特触发器的特点?回差特性:上升过程和下降过程有不同的转 换电平UT+和UT-。如何与555定时器发生联系? 内部比较器有两个不同的基准电压 UR1 和 UR2。161 1. 构成施密特触发器第五节 555定时器图6-29 555定时器构成的施密特触发器 (a)电路 (b)工作波形如果在UIC加上控制电压,则可以改变电路的 UT+和UT-。162 第五节 555定时器2. 构成单稳态触发器 思路:外触发→自动返回 (1)得到负脉冲 外触发:使高触发置0端TH有效→暂稳态0 自动返回:通过电容C的充放电使低触发置1 端TR有效→稳态1 (2)得到正脉冲 外触发:使低触发置1端TR有效→暂稳态1 自动返回:通过电容C的充放电使高触发置0 端TH有效→稳态0163 低触发 有效置1 稳态为0自动高 触发返0T截止,C充电 提高基准电 压稳定性的 图6-30 555定时器构成的单稳态触发器 当触发脉冲uI为高电平时,VCC通过R对C充电, 滤波电容 (a)电路 (b)工作波形 当TH = uC≥2/3VCC时,高触发端TH有效置0;此时, 电路返回稳态后,C通过导通的放电管T放电, 当TH = uC≥2/3VCC时,使高触发端TH有效,置 工作原理: 输出脉冲的宽度t ≈1.1RC。 放电管导通,C放电,TH CCuC =0。稳态为0状态。 此时放电管T截止,V = 通过R对C充电。 w 使电路迅速恢复到初始状态。 0状态,电路自动返回稳态,此时放电管T导通。 164 工作原理:第五节 555定时器当触发脉冲uI为高电平时,VCC通过R对C充 电,当TH = uC≥2/3VCC时,高触发端TH有效置0; 此时,放电管导通,C放电,TH = uC =0。稳态为 0状态。 当触发脉冲uI下降沿到来时,低触发端TR有 效置1状态,电路进入暂稳态。 此时放电管T截止,VCC通过R对C充电。 当TH = uC≥2/3VCC时,使高触发端TH有效, 置0状态,电路自动返回稳态,此时放电管T导通。 电路返回稳态后,C通过导通的放电管T放电, 165 使电路迅速恢复到初始状态。 3. 构成多谐振荡器第五节 555定时器设计思想:是无稳态电路,两个暂稳态不断地交替。 利用放电管T作为一个受控电子开关,使电容充电、放 电而改变TH=TR,则交替置0、置1。电容C充电 τ充=( R1+R2)C电容C放电 τ放= R2C振荡器输出脉冲uO的工作周期为: 图6-29 555定时器构成的多谐振荡器 T≈0.7(R (a)电路 1+2R2)C (b)工作波形166 复习一般单限比较器①电路组成: 模拟量 uI第五节 555定时器②电压传输特性:UR 参考量 反相输入式_ ∞ A ++数字量 uOuO+UOM O -UOMuIUR当uI<UR 时, uO=+UOM 高电平 当uI>UR 时, uO=- UOM 低电平167 复习基本RS触发器Q Q 0第一节 双稳态触发器Rd 1SdQn+1说明 0 复位 1保持不定&GA&G1 100 10置位记忆功能应禁止BSdRd168 第五节 555定时器555定时器的功能表RD 0 1 1 1 TH × UTH>2UCC/3 UTH<2UCC/3 UTH<2UCC/3 TR × UTR>UCC/3 UTR<UCC/3 UTR>UCC/3 1 0 0 0 1 0 C1(R) C2(S) Q 1 1 0 保持 uO 0 0 1 保持 T 导通 导通 截止 保持169 RD=0时+UCC 8第五节 555定时器 RD 45 k? TH CO6 5 2 3 VCC 2 7 1 3 VCC 5 k?T0∞ +A + _ 1C1 RQ5 k?TR D∞ +A + _ 21S01 3C2QuO导通1701 第五节 555定时器555定时器的功能表RD 0 TH × TR × C1(R) C2(S) Q 1 uO 0 T 导通11 1UTH>2UCC/3UTH<2UCC/3 UTH<2UCC/3UTR>UCC/3UTR<UCC/3 UTR>UCC/310 001 010 保持01 保持导通截止 保持当阈值端TH输入的电压大于2UCC/3时,比较 器A1输出高电平,C1=1。当触发端TR输入的电压大于UCC/3时,比较器 A2输出低电平,C2=0。171 RD=1时+UCC 82 ? VCC 3第五节 555定时器 RD 4 ∞ 1 +A + _ 1 C R 1 5 k?5 k?QTH CO6 5 2 3 VCC 2 7 1 3 VCC1 ? VCC 3TR D∞ 0 +A + S _ 2 C 25 k?T1Q01 3uO导通1721 第五节 555定时器555定时器的功能表RD 0 1 1 1 TH × UTH>2UCC/3 UTH<2UCC/3 UTH<2UCC/3 TR × UTR>UCC/3 UTR<UCC/3 UTR>UCC/3 1 0 0 0 1 0 C1(R) C2(S) Q 1 1 0 保持 uO 0 0 1 保持 T 导通 导通 截止 保持173 RD=1时+UCC 82 ? VCC 3第五节 555定时器 RD 4 ∞ 0 +A + _ 1 C R 1 5 k?5 k?QTH CO6 5 2 3 VCC 2 7 1 3 VCC1 ? VCC 3TR D∞ 1 +A + S _ 2 C 25 k?T0Q11 3uO截止1741 第五节 555定时器555定时器的功能表RD 0 1 1 1 TH × UTH>2UCC/3 UTH<2UCC/3 UTH<2UCC/3 TR × UTR>UCC/3 UTR<UCC/3 UTR>UCC/3 1 0 0 0 1 0 C1(R) C2(S) Q 1 1 0 保持 uO 0 0 1 保持 T 导通 导通 截止 保持175 RD=1时+UCC 82 ? VCC 3第五节 555定时器 RD 4 ∞ 0 +A + _ 1 C R 1 5 k?5 k?QTH CO6 5 2 3 VCC 2 7 1 3 VCC1 ? VCC 3TR D∞ 0 +A + S _ 2 C 25 k?T0Q11 3uO截止1761 归纳第五节 555定时器555定时器1.性质:一种集模拟电路和数字电路于一体 的用途很广的中规模集成电路。 2.功能:555集成定时器只需接少量元件,就 可组成多种功能的电路。 可方便地构成脉冲产生、波形变换电路。 可组成多谐振荡器和单稳态触发器及各种灵活 多变的应用电路。 3.用途:广泛应用于波形的产生与变换、测量 与控制等许多领域。177 第五节 555定时器二、555定时器的应用举例(一)用555定时器组成多谐振荡器 也称无稳态触发器。是通过自激振荡能输 出一定频率矩形脉冲的电子器件。 特点: (1)电路无稳态,只有两个暂稳态。 (2)一种暂稳态经过一段时间后,无需外 来触发脉冲作用,电路会自动返回到另一种 暂稳态。暂稳态的持续时间仅决定于电路本 身的参数。 无需外界 自动返回 暂稳态 触发 暂稳态 暂稳态178用途:产生波形,时钟脉冲一般由它产生。 第五节 555定时器1.电路连接 (1)R1、R2、C为外接元件。 (2)将2、6端相连,接C端电压uC,无外加触发电 平,靠C充放电触发。 (3)复位端在工作期间接高电平。UCCR1 R2D7TH TR486 555 32uOC150.01μF179 2.工作原理UCC第五节、555定时器R1 R2D7TH48uC C+ -6 555 3 uO TR 2 1 5 0.01μF当接通电源,uC=0(设电 容C原先未充电): 故 UTH = UTR & UCC /3 , 此时 uO = 1 , 三极管T 截止 , 电源UCC通过 R1 和 R2 对电容 C 充电。 uC2UCC /3 UCC /3 OtuO 在 uC 没有充电到 2UDD /3 之前, uO保持 1 不变。O180t 第五节 555定时器R1 R2uC一旦充至2VDD /3:则 TH = TR =2UCC /3 、uO 由1翻转为 0 。三极管T导通,电容 C 经 R2 、T放 电, 一直至UCC /3 ,比较器A2输出高电平, 使触发器置1,使得uO 由0 UCC 翻转回 1 , 进入循环…。 uCD7TH TR486 555 3 2 1 5uO2UCC /3 UCC /3uC C+ -0.01μFuOOt在 uC 没有放电到 UDD /3 之前, uO保持 0 不变。O181tT T 归纳第五节 555定时器无外加触发电平,靠C充放电触发。 接通UCC后,UCC对C充电。uC↑→ uO=0,T导通,C通过R2和T放电。 uC↓→ C充电。2 VCC时, 31 时,u →1,T截止,U 又对 VCC O CC 3UCC如此重复上述过程, 在输出端uO产生了连 续的矩形脉冲。R1 R27486 555 3 2 1 5uOuC C+ -0.01μF182 第五节 555定时器3.参数计算 矩形波的周期取决于电容充放电的时间常数 τ。改变τ,便可改变矩形波的频率。 (1)充电时间T1 (2)放电时间T2T1 ? ?R1 ? R2 ?Cln2 ? 0.7( R1 ? R2 )CT2 ? R2C ln 2 ? 0.7 R2CT ? T1 ? T2 ? 0.7?R1 ? 2R2 ?C1 1.43 f ? ? T ( R1 ? 2 R2 )C(3)输出脉冲周期T(4)输出脉冲振荡频率f183 第五节 555定时器如把扬声器接到多谐振荡器的输出端,当振 荡器开始振荡时,扬声器即可发声。通过改变R2的阻值来改变输出方波的周期 , 使外接的喇叭发出不同的音调 。VDD R1 7 S8 48C3R28S1 R21 C1555 36 2 1 5 RLuO184简易电子琴电路图C2 归纳第五节 555定时器多谐振荡器1.特征:多谐振荡器没有稳态,所以又称为无 稳电路。2.由一个暂稳态过渡到另一个暂稳态,其 “触发”信号是由电路内部电容充(放)电提 供的,因此无需外加触发脉冲。多谐振荡器的 振荡周期与电路的阻容元件有关。 3.构成:由555定时器构成。4.用途:自动产生矩形脉冲。185 第五节 555定时器(二)用555定时器组成单稳态触发器 有一个稳定状态和一个暂稳状态,在无外来脉 冲触发时电路处于稳定状态,在外来脉冲触发下, 电路由稳态翻转为暂稳态,暂稳态维持一定时间 后便会自动返回到稳态。 暂稳态持续时间长短, uI与触发脉冲无关,仅决 定于单稳态触发器电路 的参数。 由外界触发O 稳定状态OuOt 暂稳态 自动返回稳定状态t186 第五节 555定时器1.电路连接 R、C为外接元件,在引脚2的触发端加触发 信号。 在低电平触发端加入负脉冲触发信号。 阈值端TH接电容CT, 电平由CT的充放电决定。 复位端在工作期间 接高电平。R RD TH UCC7486 555 3 2uIC SBTRuO150.01μF187 第五节 555定时器2.工作原理 (1)稳定状态(无外来脉冲触发信号时)?电源接通,UCC经R对电容C充电。?当uC &2UCC/3,则UTH>2UCC/3,uTR&UCC/3。此时,RS触发器置0,输出uO = 0,放电三极管 T导通, C开始放电。?当放电至UTH & 2UCC/3, UTR&UCC/3时,输出保持uO = 0,电路进入稳定状态。188 第五节 555定时器(2)翻转为暂稳状态(外来脉冲触发时) 当在触发端外加负脉冲uI时 ,则UTH< 2UCC/3,UTR<UCC/3,触发器RS置1,uO由0变为1 。 此时输出uO = 1,放电三极管T截止,UCC 开始为 C充电,电路进入暂稳状态。 (3)自动返回稳定状态(触发脉冲消失) 当UCC对C充电,达一定值uC &2UCC/3时,则 UTH>2UCC/3,UTR>UCC/3,因此, uO由1恢复为 0,触发器自动翻转,电路自动返回到稳态。189 第五节 555定时器3.波形图uIO UCC 2UCC/3tuCC充到2VCC/3 就开始放电uOOtwtO190t 第五节 555定时器4.脉冲宽度twt w ? RTCTln3 ? 1.1RTCT两个结论: (1)改变R、C的值,输 出脉冲的宽度可在数微秒 到数十秒范围内变化,利 用这一特点可以定时。 (2)在R、C值一定时, 输出脉冲的幅度和宽度是 一定的,利用这一特性可 对脉冲整形。uIO UCC 2UCC/3tuCuOOtwtO191t 第五节 555定时器[例7-5-1]用555定时器组成的液位监控电路,当液面 低于正常值时,监控器发声报警。(1)说明监控报 警的原因;(2)计算扬声器发声的频率。 解: 由555定时器接成的多谐振荡器。 +UCCR1R2 C7 8 4 + 6 3 2 uOuC15192 第五节 555定时器解: (1)电容C两端引出两个探测电极插入液体内。 液位正常时,探测电极为液体所短路,振荡器不振 荡,扬声器不发声。 一旦液面下降到探测电极以下时,探测电极开 路,电源通过R1、R2给C充电,当uC上升到 2UCC/3时,振荡器开始振荡,扬声器发声报警。 (2)扬声器的发声频率,即为多谐振荡器的振 荡频率,由外接元件R1、R2、C决定。1.43 1.43 f ? ? HZ 3 -6 ( R1 ? 2 R2 )C (1 ? 2 ? 100)? 10 ? 0.01 ? 10 ? 711H Z193 第五节 555定时器[例7-5-2]下图是测量信号频率的示意图,试说明 如何测量信号的频率。被测信号A B&C计 数 器触发脉冲单 稳 电 路(a)电路框图 1S(b)波形图A B194C 第五节 555定时器[例7-5-2]下图是测量信号频率的示意图,试说明如 何测量信号的频率。分析: 在触发脉冲作用下,单稳态触发器输出一正脉冲, 调节单稳态触发器电路的R、C值,使tw=1s。将与 门打开1s钟,经过整形的被测信号通过与门使计数 器计数,一秒钟内所计得的输入脉冲数,就是被测 信号的频率。195 一、优先裁决电路 二、脉冲顺序分配器三、数字钟 第六节 数字电路应用举例一、优先裁决电路例如:在游泳比赛中自动裁决优先到达者。 1.平时输入变量A1、A2为0,变量A1、A2来自 设在终点线上的光电检测管。复位开关S断开。 2.比赛开始前,按下复位开关S,使发光二极 管LED全部熄灭。然后,断开复位开关S。 3.当游泳者到达终点线时,通过光电管的作用, 使相应的A由0变为1,同时使相应的发光二极管 发光,以指示出谁先到达终点。197 & A10Sd第六节 数字电路应用举例& Q基本RS 触发器Rd&Q≥1优 先 裁 决 电 路& A20Sd& Q+URd高SRd&Q电平平时A1、A2为“0”复 198 位开关S断开 1&1Sd& Q0第六节 数字电路应用举例 优 灭A10&1≥11 Q 灭先 裁 决 电 路Rd& A20 1 Sd& Q0+US0Rd&Q比赛开始前,按下复位 开关S,二极管熄灭 199 1&1 0Sd& Q01优 第六节 数字电路应用举例 灭 亮先 裁 决 电 路A101&1 Rd Q≥11 灭&A201Sd& Q0+US0 Rd&Q复位开关S断开。先到者 A由0变为1,使相应的二 200 极管发光,获胜 二、脉冲顺序分配器Q0& &第六节 数字电路应用举例Q1Q2&Q0&4节拍脉冲顺序 分配器逻辑图2-4线译 码器Q KQJQ K CQJ CP2位二进 制计数器C201RD 第六节 数字电路应用举例脉冲顺序分配器――能产生在时间上有一定顺 序的控制信号的逻辑部件。 由二位二进制计数器和1个2-4线译码器组成。 二位二进制计数器有22=4个工作状态,经2-4线 译码器译码后有4个输出端,在某一时刻,其中 只有一个输出端呈现高电平。 在时钟脉冲作用下,计数器不断改变状态,译 码器的各个输出端就按一定顺序轮流出现高电平, 形成顺序脉冲。脉冲顺序分配器可由集成计数器和集成译码器 组成。202 三、数字钟第六节 数字电路应用举例203 第六节 数字电路应用举例系统组成: 1.标准秒脉冲发生电路:包括石英晶体振荡器和 6级十分频电路。 石英晶体振荡器提供标准的1MHz方波,再经6级 十分频,便得到标准的秒脉冲。 2.时、分、秒计数、译码、显示电路:包括2个60 进制和1个24进制计数器以及相应的译码、显示电路。 标准秒脉冲经第一个计数器分频,得到分脉冲; 分脉冲再经60分频得小时脉冲。时、分、秒各级计 数器的计数值经译码显示,便读出计时结果。204 第六节 数字电路应用举例3.时间较准电路:包括2个双稳态触发器和2个 二选一数据选择器。 时间校准由SB1和SB2两按钮控制。 如果两按钮不按下,数字钟便正常计时。 当按下SB1时,标准秒脉冲直接进入分计数器, 分计数器对“分”进行快速校对。 当按下SB2,标准秒脉冲直接进入小时计数器, 对“时”进行校对。205
一、555定时器1.性质:用途广泛、使用灵活的中规模集成电路。 2.电路组成。3.工作原理。4.功能:构成脉冲产生和整形电路。 施密特触发器单稳态触发器多谐振荡器 5.用途:广泛应用于波形的产生与变换、测量 与控制等许多领域。207 二、单稳态触发器1.特征:电路有一个稳态和一个暂稳态,在 外来触发脉冲作用下,电路由稳态翻转到暂稳 态。经过一段时间后,能自动返回稳定状态。 暂稳态的持续时间即脉冲宽度,由电路的阻容 元件决定。 2.构成:可由门电路、 555定时器构成,也 有专用的集成电路。 3.工作原理。 4.用途:脉冲展宽、脉冲延迟。208 三、多谐振荡器1.特征:多谐振荡器没有稳态,所以又称 为无稳电路。 2.由一个暂稳态过渡到另一个暂稳态,其 “触发”信号是由电路内部电容充(放)电 提供的,因此无需外加触发脉冲。多谐振荡 器的振荡周期与电路的阻容元件有关。3.构成:可由门电路、555定时器、石英晶 体构成,也有专用的集成电路。 4.用途:自动地产生矩形脉冲。209
说明为了方便各位老师根据不同的教 学风格修改课件,这里将一部分自 行制作的素材库附上。 素材库包括:元器件、电路符号、 各种变量、波形、圆点标记等。211 +0+ uO C附件:素材库0 1 1 0 响链接EDA3 &GA & GB & &GB&GAQ Q Q Q Qm Q m Q Q Qn+1 Qn Qm Qn+1 Q? Qn QnSd Rd Rd Sd Sd Rd R S S G1 D2 & D C ? 1R∞ +A + _ 2212EN EN CP}

我要回帖

更多关于 交大高金 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信