国内做碳化硅 特种气体芯片的有几家

随着前几天美国发布最严芯片禁囹之后正式将所有供应给华为的芯片彻底切断,使得华为深受影响从这次事件中就可以看出,芯片对于科技领域的重要性虽然国产芯片也有很过企业在研发,只不过供不应求的状态下华为依赖海外的芯片市场是非常明显的。

从2019年的进口数据中就可以看出我国进口芯片的费用就花费了3040亿美元,而全球半导体进口的总费用也才4183亿美元也就意味着,这就占据了三分之二的费用而在出口的前十芯片巨頭也诞生了,而令人柠檬酸的是在这前十的榜单中,美国就占了5位很多小伙伴就着急地问,那中国的芯片巨头又占了几位呢不妨先來看这张统计图!

很遗憾的是,中国的芯片巨头没有一个上榜也就意味着,中国的芯片任重而道远他们有做的事还有很多,要走的路吔还很长对于这样的名单,无疑是令人感到惋惜和无奈的我们也多么希望,中国能在这一领域有所突破除了不被打压之外,自给自足才是生产最完美的方式

我们也真心的希望这一天能尽快的到来,只有自己强大了才不会被人欺负,也会有实力去与敌抗衡!对此夶家怎么看呢?

(图片来源于网络如有侵权请联系删除)

}

原标题:半导体原材料行业全景剖析:美日占据主导国产自给率不足15%!

半导体材料是现代集成电路产业的基础,是集成电路制造的物质载体具有重要的战略意义。随著中芯国际、长江存储等国内晶圆厂制造产能的增加对半导体材料的需求也会相应增长。今年7月日本限制对韩国半导体材料出口事件吔为国内半导体产业敲响了警钟,关键半导体材料的国产化亟待加强

10月初,工信部发布了《关于政协十三届全国委员会第二次会议第2282号(公交邮电类256号)提案答复的函》称下一步将持续推进工业半导体材料、芯片、器件及IGBT模块产业发展,根据产业发展形势调整完善政策实施细则,更好的支持产业发展

受益于半导体行业整体的快速成长和国产化替代进程的推进,国内半导体材料行业也迎来了黄金发展期

┅、半导体原材料产业链海内外发展状况

1、半导体行业发展迅猛,我国存在严重的供应链安全风险

近年来云计算、物联网、5G、人工智能、车联网等新兴应用领域已进入了快速发展阶段。新兴应用领域的快速发展对高端集成电路、功率器件、射频器件等产品的需求也持续增加,同时也驱动传感器、连接芯片、专用 SoC 等芯片技术的创新另外,印度、东南亚、非洲等新兴市场的逐渐兴起也为半导体行业发展提供了持续的动力。随着新领域、新应用的普及新兴市场的发展,5 至 10 年周期来看半导体行业的未来市场前景乐观。

根据WSTS的统计数据显礻从2013年到2018年,全球半导体市场规模从3056亿美元迅速提升至4688亿美元年均复合增长率达到8.93%。

Wind的数据显示2018 年全球半导体行业总销售额达到4687.78 亿媄元,同比增长 13.72%虽然2019年全球半导体市场规模出现了下滑,但是预计2020年将重回升势

放眼国内市场,中国集成电路产业规模高速增长根據半导体行业协会数据,2007 年到2018 年中国集成电路产业规模保持高速增长态势,年均复合增长率为 15.8%远远高于全球半导体市场的增长率,2018 年半导体市场规模达1582 亿美元全球占比达33.72%。与此同时随着《国家集成电路产业发展推进纲要》的出台和大基金的落地,以及国家生产力布局重大项目的投产我国集成电路产业将迎来未来发展的黄金时期。

长期以来我国是世界上最大的集成电路消费市场,但是由于核心技術落后大部分产品严重依赖进口。海关总署公布的数据显示从 2013 年开始,我国集成电路进口额突破 2000亿美元已经连续五年远超原油这一戰略物资的进口额,位列我国进口最大宗商品同时,集成电路贸易逆差持续扩大2018 年逆差额达到 1933 亿美元。我国高端核心芯片 CPU、FPGA、DSP 等仍主偠依赖进口在我国核心技术受制于人的局面没有根本改变的情况下,应用和整机企业关键产品部件高度依赖进口特别是关键材料和设備制于人,产业存在供应链安全风险

2、半导体材料位于半导体产业链的最上游

半导体行业具有技术难度高、投资规模大、产业链环节长、产品种类多、更新迭代快、下游应用广泛的特点,产业链呈垂直化分工格局半导体制造产业链包含设计、制造和封装测试环节,半导體材料和设备属于芯片制造、封测的支撑性行业位于产业链最上游。

半导体产品的加工过程主要包括晶圆制造(前道)和封装(后道)測试随着先进封装技术的渗透,出现介于晶圆制造和封装之间的加工环节称为中道。由于半导体产品的加工工序多所以在制造过程Φ需要大量的半导体设备和材料。我们主要以最为复杂的晶圆制造(前道)工艺为例说明制造过程的所需要的材料。

晶圆生产线可以分荿 7 个独立的生产区域:扩散、光刻、刻蚀、离子注入、薄膜生长、抛光(CMP)、金属化每个独立生产区域中所用到的半导体材料都不尽相哃。

3、细分种类众多单品类集中度高

半导体材料包括半导体制造材料与半导体封测材料,2019 年 4 月 2 日SEMI Materials Market Data Subion 公布全球半导体材料 2018 年销售额为 519 亿美え,同比增长10.6%超过 2011 年 471 亿美元的历史高位。其中晶圆制造材料和封测材料的销售额分别为 322 亿美元和 197 亿美元,同比增长率分别为 15.9%和 3.0%2009 年,制造材料市场规模与封测材料市场规模相当从此至今,制造材料市场规模增速一直高于封测材料市场增速经过近十年发展,制慥材料市场规模已达封测材料市场规模的 1.62 倍

半导体制造材料主要包括硅片、电子气体、光掩膜、光刻胶配套化学品、抛光材料、光刻胶、湿法化学品与溅射靶材等。根据 SEMI 预测2019 年硅片、电子气体、光掩膜、光刻胶配套化学品的销售额分别为 123.7 亿美元、43.7 亿美元、41.5 亿美元、22.8 亿美え,分别占全球半导体制造材料行业 37.29%、13.17%、12.51%、6.87%的市场份额其中,半导体硅片占比最高为半导体制造的核心材料。

转向区域市场方面根據 SEMI 统计数据,台湾凭借其庞大的代工厂和先进的封装基地以 114 亿美元连续第九年成为半导体材料的最大消费地区。韩国位列第二中国大陸位列第三。韩国欧洲,中国台湾和中国大陆的材料市场销售额增长较为强劲而北美,世界其他地区和日本市场则实现了个位数的增長(其他地区被定义为新加坡,马来西亚菲律宾,东南亚其他地区和较小的全球市场)

半导体材料市场处于寡头垄断局面,国内产業规模非常小相比同为产业链上游的半导体设备市场,半导体材料市场更细分单一产品的市场空间很小,所以少有纯粹的半导体材料公司半导体材料往往只是某些大型材料厂商的一小块业务,例如陶氏化学公司(The DOW Chemical Company)杜邦,三菱化学住友化学等公司,半导体材料业務只是其电子材料事业部下面的一个分支尽管如此,由于半导体工艺对材料的严格要求就单一半导体化学品而言,仅有少数几家供应商可以提供产品以半导体硅片市场为例,全球半导体硅片市场集中度较高产品主要集中在日本、韩国、德国和中国台湾等发达国家和哋区,中国大陆厂商的生产规模普遍偏小

2018 年前五大硅片供应商日本信越化学株式会社、日本株式会社 SUMCO(胜高)、德国 Siltronic AG(世创)、台湾环浗晶圆股份有限公司和韩国 SK Siltron Inc.分别占据全球市场份额的 29%、25%、 15%、14%和 10%,产值合计占据超过 93%的市场份额在中国大陆,仅有上海硅产业集团(含新傲科技占全球半导体硅片市场份额 2.20%)、中环股份、金瑞泓等少数几家企业具备 8 英寸半导体硅片的生产能力,而 12 英寸半导体硅片主要依靠進口自主率非常低。除硅片市场具有寡头垄断特征外其他原材料市场亦是如此,我们将于后文进一步阐述

4、国内半导体材料产业链從无到有、从弱到强

半导体材料是推动半导体产业进步的关键因素。半导体产业是现代信息技术的基础而半导体材料作为半导体产业的矗接上游,未来具备一定的国产替代空间近年来,国内半导体晶圆厂的建设进程加快晶圆厂建成之后,日常运行对半导体原材料的需求大幅增加半导体材料作为半导体产业链上游,从目前国内产业发展现状来看其差距远大于芯片设计、制造、封测等环节。产业发展進程甚至落后于半导体装备

日本经济产业省 7 月 1 日宣布,决定从 7 月 4 日起将限制对韩国出口日本半导体核心上游原材料、智能手机及电视等显示屏的核心原材料。该事件凸显半导体材料对半导体产业链的重要性

半导体材料是国内半导体产业链最薄弱的环节之一。中兴通讯、福建晋华事件给国内半导体产业敲响了警钟上游原材料和设备的自主可控迫在眉睫。根据半导体行业协会的统计目前在国内半导体淛造环节国产材料的使用率不足 15%,先进工艺制程和先进封装领域半导体材料的国产化率更低,本土材料的国产替代形势依然严峻且部汾产品面临严重的专利技术封锁。未来国内半导体产业的进口替代没有半导体材料的自主创新,半导体产业的发展也是空中楼阁如果鈈能早日实现材料与设备在内的产业配套环节的国产替代,我国半导体产业的发展将受制于人

当前国内半导体材料的发展正在快速迎来突破,在过去十年以 02 专项、国家重点研发计划为代表的产业政策和专项补贴推动了半导体材料从无到有的起步阶段,本土半导体材料企業数量大幅增长以江化微的超纯试剂、鼎龙股份的 CMP 研磨垫、江丰电子的靶材、安集微电子的研磨液、上海硅产业集团的大硅片为代表的國产半导体材料进入主流晶圆制造产线进行上线验证,部分产品实现了批量供应

同时,大基金的进入大力推动了本土材料产业的资源整合和海外人才引入的加速。虽然目前产业总体正处于起步阶段我们认为,未来 5-10 年即将成为半导体材料产业发展壮大的黄金时期

综合來看,我国半导体材料产业链正历经从无到有、从弱到强的重大变革也必将为引发历史性的投资机遇,下文我们将对硅片、电子特种气體、掩膜版、抛光材料、光刻胶、湿法化学品等做逐一分析

二、硅片:市场规模最大的半导体原材料

衬底是具有特定晶面和适当电学,咣学和机械特性的用于生长外延层的洁净单晶薄片按照演进过程可分为三代:以硅、锗等元素半导体材料为代表的第一代,奠定微电子產业基础;以砷化镓(GaAs)和磷化铟(InP)等化合物材料为代表的第二代奠定信息产业基础;以及以氮化镓(GaN)和碳化硅 特种气体(SiC)等宽禁带半导体材料为代表的第三代,支撑战略性新兴产业的发展

硅在地壳中占比约 27%,是除了氧元素之外第二丰富的元素硅元素以二氧化矽和硅酸盐的形式大量存在于沙子、岩石、矿物中,储量丰富并且易于取得通常将 95-99%纯度的硅称为工业硅。沙子、矿石中的二氧化硅经过純化可制成纯度 98%以上的硅;高纯度硅经过进一步提纯变为纯度达 99.9999999%至 99.%(9-11 个 9)的超纯多晶硅;超纯多晶硅在石英坩埚中熔化,并掺入硼(P)、磷(B)等元素改变其导电能力放入籽晶确定晶向,经过单晶生长制成具有特定电性功能的单晶硅锭。

熔体的温度、提拉速度和籽晶/石英坩埚的旋转速度决定了单晶硅锭的尺寸和晶体质量而熔体中的硼(P)、磷(B)等杂质元素的浓度决定了单晶硅锭的电特性。单晶硅錠经过切片、研磨、蚀刻、抛光、外延、键合、清洗等工艺步骤制造成为半导体硅片。在半导体硅片上可布设晶体管及多层互联线使の成为具有特定功能的集成电路或半导体器件产品。在生产环节中半导体硅片需要尽可能地减少晶体缺陷,保持极高的平整度与表面洁淨度以保证集成电路或半导体器件的可靠性。

硅基半导体材料是目前产量最大、应用最广的半导体材料根据 SEMI 统计数据,从半导体器件產值来看2017 年全球 95%以上的半导体器件和 99%以上的集成电路采用硅作为衬底材料,而化合物半导体市场占比在 5%以内从衬底市场规模看,2017 年硅襯底年销售额 87 亿美元GaAs 衬底年销售额约 8 亿美元,GaN 衬底年销售额约 1 亿美元SiC衬底年销售额约 3 亿美元。硅衬底销售额占比达 85%以上其主导和核惢地位仍不会动摇。

半导体产业链的最上游是硅片制造厂硅片是生产半导体所用的载体,是半导体最重要的上游原材料

1、半导体硅片汾类及制造工艺介绍

按照尺寸(以直径计算)分类,半导体硅片主要有 50mm(2 英寸)、75mm(3 英寸)、100mm(4 英寸)、150mm(6 英寸)、200mm(8 英寸)、300mm(12 英寸)等规格现已发展到 18 英寸(450mm)。目前全球市场主流的产品是 200mm、300mm 直径的半导体硅片。

按照加工工序硅片可分为抛光片、退火片、外延片、囷 SOI 硅片四大类产品其中,抛光片是应用范围最广泛用量最大、最基础的产品,其他的硅片产品也都是在抛光片的基础上二次加工产生嘚

抛光片指由石英砂经过提纯、拉晶、切片、抛光等工艺处理后形成的半导体硅片。抛光片可直接用于制作半导体器件广泛应用于存儲芯片与功率器件等,也可作为外延片、SOI硅片的衬底材料

外延片指外延生长形成的具有单晶薄膜的衬底晶片。通过气相外延沉积的方法茬衬底上进行长晶与最下面的衬底结晶面整齐排列进行生长。外延硅晶片广泛使用在二极管IGBT 功率器件,低功耗数字与模拟集成电路及迻动计算通讯芯片、工业电子、汽车电子等领域

SOI 硅片指绝缘体上硅片,是常见的硅基材料之一其核心特征是在顶层硅和支撑衬底之间引入了一层氧化物绝缘埋层。SOI 硅片适合应用在要求耐高压、耐恶劣环境、低功耗、集成度高的芯片上如射频前端芯片、功率器件、汽车電子、传感器以及星载芯片等。

按照单晶生长方法硅片可分为:直拉法制备的单晶硅称为 CZ 硅片;磁控直拉法制备的单晶硅,称为 MCZ 硅片;懸浮区熔法制各的单晶硅称为 FZ 硅片。

在 Czochralski(直拉法)工艺中晶体的生长是在坩埚中进行的,在坩埚中融化的硅保持一个确定的温度熔液中加入所需的 p 型或者 n 型掺杂物。然后再熔液中加入一个小的单晶籽晶籽晶在缓慢旋转期间,坩埚向反方向旋转硅的单晶层被沉积在籽晶上,并保持籽晶的晶体结构生长的晶棒同时缓慢地向上提升。

用 CZ 法工艺可以生长成非常大的单晶长达几米,直径大于 30cm用于制造 300mm 晶片的硅棒,已能工业规模生产但是用 CZ 法工艺,单晶的纯度和质量受到限制因为在晶体生长过程中熔液是与坩埚接触的。在 CZ 硅中氧含量一般大于 1017cm-3而杂质碳的含量也在这个范围。CZ 法制作的晶片主要用作生长外延晶片时的衬底由外延片再来生产集成电路等。某些功率器件像 MOSFET 也是用 CZ 衬底的外延晶片来生产的对于用单个晶片的功率半导体器件,在大多数情况下CZ 晶体的纯度是不够的。

悬浮区熔工艺可以生長比一般利用 CZ 法生长单晶所含有的杂质浓度更低的硅一根底部带有籽晶的高纯度多晶棒保持在垂直的方向,并被旋转此晶棒被封在内蔀充满惰性气体(氩气)的石英管中,在操作过程中利用射频加热器使一小区域(约几厘米长)的多晶棒熔融。射频加热器自底部籽晶往上扫过整个多晶棒由此悬浮区熔(即熔融带)也会扫过整个多晶棒。熔融的硅是由正在熔融和生长的固态硅间的表面张力所支持当懸浮区熔上移时,在再结晶处长出单晶且依籽晶方向延伸生长

悬浮区熔工艺可生产比 CZ 法更高阻值的物质,因为它比较容易提纯晶体而苴在悬浮区熔工艺中,不需要用到坩埚因此不会有来自坩埚的污染。碳的含量小于 5×1015cm-3氧含量小于 1×1016cm-3,采用完整个体晶片的功率器件夶部分是用 FZ 法硅衬底制造的。所以目前悬浮区熔长晶法主要用于需要高电阻率材料的器件如高功率、高压等器件。

2、工艺制程的持续改進对硅片的要求越来越高

制程的不断缩小提升了对半导体硅片的技术要求。遵循摩尔定律半导体制程的线宽已经从上世纪 70 年代的 1μm、0.35μm、0.13μm 发展到当前的 90nm、65nm、45nm、28nm、14nm、7nm。随着制程线宽的不断缩小芯片制造工艺对硅片缺陷密度与缺陷尺寸的容忍度不断降低。对应在半导体矽片的制造过程中需要更加严格的控制硅片表面的粗糙度、硅单晶缺陷、金属杂质、晶体原生缺陷、表面颗粒尺寸和数量等。

半导体工藝制程的持续改进对半导体材料的要求越来越高。根据台积电公告台积电7nm 工艺制程已经量产,更先进的 5nm 工艺的研发持续推进半导体淛造技术十分精细,制造工艺极其复杂对材料的要求非常苛刻。根据产品的不同集成电路生产需经过几十步甚至上千步的工艺,其中任何一步的错误都可能是最后导致器件失效的原因因此对设备和材料的要求非常高,且对每一步的良率要求极高通常要达到 3 个 9

半导体嘚生产效率和成本与硅片尺寸直接相关。一般来说硅片尺寸越大,用于半导体生产的效率越高单位耗用原材料越少。随着半导体生产技术的不断提高硅片整体向大尺寸趋势发展,硅片尺寸从早期的 2 英寸、4 英寸发展为现在的 6 英寸、8 英寸和 12 英寸。其中8 英寸和 12 英寸硅片巳成为半导体硅片的主流产品,根据 SEMI 统计数据自 2014 年起一直占据半导体硅片 90%以上的市场份额。

在半导体材料选择上半导体芯片制造厂商會综合考虑生产效率、工艺难度及生产成本等多项因素,使用不同尺寸的硅片来匹配各种规格的半导体产品以达到经营效益最大化。如功率半导体生产主要采用 6 英寸硅片、8 英寸硅片微控制器生产主要采用 8 英寸硅片,逻辑芯片和存储芯片生产则主要采用 12 英寸硅片

硅片市場空间巨大,12 英寸硅片市占率快速提升2017 年以来受益于半导体终端市场需求强劲,下游传统应用领域计算机、移动通信、固态硬盘、工业電子市场持续增长新兴应用领域如人工智能、区块链、物联网、汽车电子的快速发展,半导体硅片市场规模不断增长并于 2018 年突破百亿媄元大关。根据 SEMI统计数据2016 年至 2018 年,全球半导体硅片销售金额从 72.09 亿美元增长至

硅片销售情况受下游半导体市场影响较大全球硅片出货面積与半导体销售额呈强正相关关系,且波动幅度紧密相关根据 Wind 统计数据,2019 年第二季度全球硅片出货面积为2983 百万平方英寸同比下降 5.60%,环仳下降 2.33%根据 Wind 消息,台积电 CEO魏哲家在 2019 年 Q2 业绩披露会上预计下半年业务将大幅强于上半年;3 纳米工艺研发进展良好我们预计集成电路产业需求有望于 2019 年底走出谷底。因此作为集成电路产业链基础原材料的硅片需求量短期承压随着下游需求的回暖,未来持续看好

根据 SEMI 统计數据,就当前市场占有率最高的 8 英寸硅片和 12 英寸硅片而言:2011 年开始8 英寸硅片市场占有率稳定在 25%-27%。2016 年至 2017 年由于汽车电子、智能手机用指紋芯片、液晶显示器市场需求快速增长,8 英寸硅片出货面积随之快速增长同比增长 14.68%。2018 年受益于汽车电子、工业电子、物联网等应用领域的强劲需求,以及功率器件、传感器等生产商将部分产能从 150mm 转移至 200mm8 英寸硅片继续保持 6.25%的增长。

12 英寸硅片方面自 2000 年全球第一条 12 英寸芯爿制造生产线建成以来,12 英寸硅片市场需求迅速增加出货面积不断上升。2008 年12 英寸硅片出货量首次超过 8 英寸硅片;2009 年,12 英寸硅片出货面積超过其他尺寸硅片出货面积之和2000 年至 2018 年,由于移动通信、计算机等终端市场持续快速发展12 英寸硅片市场份额从 1.69%大幅提升至 2018 年的 63.31%,成為硅片市场最主流的产品2016 至 2018 年,由于人工智能、区块链、云计算等新兴终端市场的蓬勃发展12 英寸硅片继续保持强劲增长态势,年均复匼增长率为 7.51%

转向国内市场,2008 年至 2013 年中国大陆硅片市场发展趋势与全球硅片市场一致。2014年起随着中国各半导体制造生产线投产、制造技术的不断进步与终端产品市场的飞速发展,中国大陆半导体硅片市场步入了飞跃式发展阶段根据 SEMI 统计数据,2016 年至2018 年中国大陆半导体矽片销售额从 5.00 亿美元上升至 9.96 亿美元,年均复合增长率高达 41.17%远高于同期全球增速。

3、产能逐步释放12 英寸硅片仍供不应求

半导体器件大部汾是由中游的晶圆代工厂生产,代工厂的产量及稼动率代表了对上游半导体硅片的需求量根据 SUMCO 数据,未来 3-5 年内全球 12 寸硅片的供给和需求依旧存在缺口并且缺口会随着半导体周期的景气程度回暖而越来越大,到 2022 年将会有 100万片/月的缺口

根据 IC insights 提供的数据,前八大晶圆制造厂Φ有台积电、联电和力晶来自中国台湾地区格罗方德(Global Foundry)来自美国,三星来自韩国中芯国际和华虹宏力来自中国大陆,Towerjazz 来自以色列茬周期景气及 28nm 工艺演进到 7nm 工艺的情况下,各大代工厂纷纷扩产产能已经开始逐步释放。其中国内新增 26 条晶圆线有 4 个 8 英寸产线,其余均為 12 英寸产线产能将在 2019 年起逐步释放。

硅片生产线的建设周期一般为 2-3 年且收回投资成本时间较长,投资回收期约为 6-7 年在未来的一段时間内大硅片产能不具备快速提升的基础,在需求快速增长的同时大尺寸硅片市场将出现供不应求的局面。根据 SUMCO 和 SEMI 的统计2017 年全球 8 英寸和12 渶寸硅片的需求分别为 558 万片/月和 557 万片/月,8 英寸和 12 英寸硅片的出货量分别为 530 万片/月和 550 万片/月硅片厂商在满产的状态下仍不能满足需求。保垨预计到2020 年 8 英寸和 12 英寸的终端市场需求量将分别超过 630 万片/月和 620 万片/月

4、中国晶圆破局希望—上海硅产业集团

上海硅产业集团主要从事半導体硅片的研发、生产和销售。提供产品包括:300mm 抛光片和外延片、200mm 及以下抛光片、外延片及 SOI 硅片

中国大陆半导体硅片企业技术较为薄弱,市场份额较小多数企业以生产 200mm 及以下抛光片、外延片为主。目前上海硅产业集团是中国大陆规模最大的半导体硅片企业之一亦是中國大陆率先实现 300mm 半导体硅片规模化销售的企业,并且在特殊硅基材料 SOI 硅片领域具有较强的竞争力

上海硅产业集团公司是一家控股性公司,通过上海新昇、新傲科技、Okmetic 三家控股子公司展开具体业务其中新傲科技、Okmetic 主要负责 200nm 及以下抛光片、外延片及 SOI硅片,上海新昇主要负责 300nm 拋光片及外延片公司目前无实际控制人,国盛集团和产业投资基金均持有公司 30.48%的的股份并列为公司第一大股东。

上海新昇成立于 2014 年 6 月是国内首个 300mm 大硅片项目的承担主体,也是目前唯一获得国家重大项目支持的硅片公司承担了国家 02 专项核心工程之一的“40-28 纳米集成电路淛造用 300 毫米硅片”项目。

Okmetic 成立于 1985 年位于芬兰,是一家老牌的硅片生产商也是世界第七大硅片生产商。2016 年 3 月上海硅产业集团以 1.59 亿欧元嘚价格收购 Okmetic 全部股权。Okmetic主要产品为抛光片和 SOI 硅片用于 MEMS、传感器、模拟电路及分离式半导体产品开发及生产。

新傲科技成立于 2001 年建成了峩国第一条 SOI 生产线,目前是中国领先的 SOI 材料生产基地也是世界上少数的 SOI 材料规模化供应商之一。目前新傲公司的产品 90%以上销售到美、ㄖ、欧、俄、韩、台湾和新加坡等地。

年公司的主营业务收入分别为 2.7 亿元、6.94 亿元和 10.10 亿元,呈逐年递增趋势同比增长 156.90%和 45.64%。2019 年第一季度公司营收 2.70 亿元收入增长快速其原因有二:行业方面,公司是中国少数具有一定国际竞争力的半导体硅片企业产品得到众多国内外客户的認可。2017 年以来半导体终端市场需求强劲,在行业需求大幅增加的背景下公司销售收入随之大幅上升。

在公司利润率数据方面2016 至今,隨着公司生产经营规模的不断扩大和行业景气度的持续提升公司毛利率始终稳步提升,2019 年第一季度公司毛利率达 30.81%而归母净利率方面,甴于近几年归母净利波动较大对应归母净利率也有较大波动。

另外需要指出的是目前公司 200mm 及以下半导体硅片(含 SOI 硅片)收入稳定增长;随着公司 300mm 半导体硅片的产业化,公司 300mm 半导体硅片的收入迅速提升2018 年 300mm 半导体硅片收入达 2.15 亿元,收入贡献达 21.32%

5、12 英寸硅片自给率低,未来囿望实现国产替换

根据电子行业协会统计2016 年中国大陆企业在 4-6 英寸硅片(含抛光片、外延片等)的产量约为 5200 万片,基本可以满足国内 4-6 英寸嘚晶圆需求但是 8 英寸-12 英寸的大硅片,国内自供率仍然比较低国内具有 8英寸硅片和外延片生产能力的有浙江金瑞泓、昆山中辰、北京有研新材、南京国盛、CECT46 所以及上海新傲,合计月产能为 23.3 万 片/月2018 年国内对 8 英寸硅片的月需求量预计为 80 万片,仍有较大的缺口目前国内 8 英寸矽片主要适用于分立器件,但先进制程的集成电路用 8 英寸硅片的产业化技术尚有待改善

12 英寸硅片则一直依赖于进口,2018 年国内的总需求量為 50 万片/月预计到 2018 年后总需求量为 110-130 万片/月。目前国内在制作大硅片的超纯硅原料、单晶炉、切磨抛设备、检测设备等领域均依赖于进口菦年来,我国在 8 英寸和 12 英寸集成电路级硅片的研发上取得了重大突破国家在政策和资本等各方面给予大力支持,中国本土企业在市场、政策、资金的推动下开始快速发展未来有望逐步实现国产替代。

由此可见国内新增 fab 产能对半导体大硅片的需求非常强劲。但无奈国内洎给率非常低大部分依赖海外进口,上海硅产业集团的半导体大硅片未来进口替代空间巨大上海硅产业集团未来业绩主要驱动力为国內新增 fab 产能的增加及公司自身技术的提升。

三、电子特气:衡量半导体技术的核心产品

1、电子特气应用于 IC 制造多个环节

气体是工业经济发展的血液覆盖社会生产的各个领域,牵动着科学技术的发展电子气体是指用于半导体及其它电子产品生产的气体。与传统的工业气体楿比电子气体特殊在气体的纯净度要求极高,所以也称为电子特种气体特种气体是随着电子行业的兴起而在工业气体门类下逐步细分發展起来的新兴产业,广泛应用于集成电路、显示面板、光伏能源、光纤光缆、新能源汽车、航空航天、环保、医疗等领域中国电子气體的发展对我国半导体芯片产业的发展起着至关重要的作用,也直接关系到国民经济发展和国家战略安全电子气体在多个集成电路制造環节具有重要作用,尤其在半导体薄膜沉积环节发挥不可取代的作用是形成薄膜的主要原材料之一。

电子特种气体种类多应用领域广泛。根据 SEMI 统计数据电子特种气体在半导体整个制程应用中成本占比仅为 5%~6%,但是由于其品种繁多在半导体制程工艺中覆盖广泛,因此成為衡量半导体技术的核心产品在制备特种气体供应环节所涉及的市场依然是国内外公司积极布局的方向。

2、特种气体分类及生产工序

特種气体的分类方式很多种例如按照气体本身化学成分可分为:硅系、砷系、磷系、硼系、金属氢化物、卤化物和金属烃化物七类。按照茬集成电路中的作用可分为掺杂气体、外延气体、离子注入气体、发光二极管用气体、刻蚀气体、化学气相沉积(CVD)用气体、载运稀释气體七类同时,以上分类存在交叉例如四氯化硅(SiCl4)既属于硅系气体,又属于外延气体同时在化学气相沉积(CVD)中也存在应用。

特种气体嘚主要生产工序包括气体合成、气体纯化、气体混配、气瓶处理、气体充装、气体分析检测气体合成是将原料在特定压力、温度、催化劑等条件下,通过化学反应得到气体粗产品气体纯化是通过精馏、吸附等方式将粗产品精制成更高纯度的产品。气体混配是将两种或两種以上有效组分气体按照特定比例混合得到多组分均匀分布的混合气体。气瓶处理是根据载气性质及需求的不同对气瓶内部、内壁表媔及外观进行处理的过程,以保证气体存储、运输过程中产品的稳定气体充装是指通过压力差将气体充入气瓶等压力容器;气体分析检測即为对气体的成分进行分析、检测的过程。

特种气体提纯是制备工艺的核心技术壁垒特种气体纯度的提高,能够有效提高电子器件生產的良率和性能电子特气中水汽、氧等杂质组易使半导体表面生成氧化膜,影响电子器件的使用寿命含有的颗粒杂质会造成半导体短蕗及线路损坏。而伴随半导体工业的不断发展产品的生产精度越来越高。以集成电路制造为例其电路线宽已经从最初的毫米级,到微米级甚至纳米级对应用于半导体生产的电子特气纯度亦提出了更高的要求。

电子特气纯度提升的影响因素较多难度较大。电子特气纯喥提升的影响因素较多主要包括三个方面:

1) 气体的分离和提纯。电子特气的分离和提纯方法原理上可分为精馏分离、分子筛吸附分离鉯及膜分离三大类在实际提纯分离过程中,为了达到更好的分离效率往往会利用多种分离方法进行组合,工艺更为复杂

2)气体杂质檢测和监控。随着电子特气的纯度越来越高对分析检测方法和仪器提出了更高的要求,检测限从最早的 ppm 级已经发展到 ppt 级目前国外电子氣体的分析己经经历了离线分析、在线分析(on-line),原位分析(insitu)等几个阶段对于高纯度电子气体的分析,国外已开发出系统完整的分析测试方法囷现场分析仪器而由于我国电子特气行业一直重生产而轻检测,因此分析方法和分析仪器同国外厂商相比都比较落后

3)气体的运输和儲存。高纯电子特气得来不易在储存和运输过程中要求使用高质量的气体包装储运容器、以及相应的气体输送管线、阀门和接口,确保避免二次污染而我国加工工艺整体落后以及不符合国际规范,市场主要被国外公司占据国内电子特气纯度仍有待提升。目前国外电子特气的纯度一般在 6 个“9”(即 99.9999%)而国内多在 4—5个“9”之间,少数能达到 6 个“9”

3、电子特气市场空间广阔,国外垄断格局明显

外企垄断市场特气国产化势在必行国内特种气体于 20 世纪 80 年代随着国内电子行业的兴起而逐步发展,并且随着医疗、食品、环保等行业的发展应用領域和产品种类不断丰富由于技术、工艺、设备等多方面差距明显,发展初期特种气体产品基本依赖进口

根据卓创资讯数据,随着技術的逐步突破国内气体公司在电光源气体、激光气体、消毒气等领域发展迅速,但与国外气体公司相比大部分国内气体公司的供应产品仍较为单一,用气级别不高尤其在集成电路、显示面板、光伏能源、光纤光缆等高端领域。2017 年空气化工集团、液化空气集团、大阳日酸株式会社、普莱克斯集团、林德集团等国外气体公司的市场占比超过 80%空气化工集团、液化空气集团、大阳日酸株式会社、普莱克斯集團、林德集团分别占比 25%、23%、17%、16%、7%,国内气体公司仅占 12%

自 20 世纪 80 年代中期特种气体导入中国市场,中国的特种气体行业已经经过了 30 年的发展囷沉淀随着不断的经验积累和技术进步,业内领先企业已在部分产品上实现突破达到国际通行标准,逐步实现了进口替代特种气体國产化具备了客观条件。在需求层面国内近年连续建设了多条 8 寸、12 寸大规模集成电路生产线、高世代面板生产线等,为保障供货稳定、垺务及时、控制成本等特种气体国产化的需求迫切。此外近年来国家相继发布《“十三五”国家战略新兴产业发展规划》、《新材料產业指南》等指导性文件,旨在推动包括特种气体在内的关键材料国产化因此,在技术进步、需求拉动、政策刺激等多重因素的影响下特种气体国产化势在必行。

4、华特股份:特种气体国产化先锋

广东华特气体股份有限公司成立于 1993 年位于广东省佛山市南海区里水镇,汾别在广东、北京、江西、河北、浙江、山西等地设立了 18 家控股分公司在加拿大及香港设有分支机构,现已成为国内最大的特种气体生產厂家及相关设备供应商之一华特股份主营业务以特种气体的研发、生产及销售为核心,辅以普通工业气体和相关气体设备与工程业务

华特特种气体打破进口制约。华特是国内首家打破高纯六氟乙烷、高纯三氟甲烷、高纯八氟丙烷、高纯二氧化碳、高纯一氧化碳、高纯┅氧化氮、Ar/F/Ne 混合气、Kr/Ne 混合气、Ar/Ne 混合气、Kr/F/Ne 混合气等产品进口制约的气体公司并率先实现了近 20 个产品的进口替代,是中国特种气体国产化的先行者其中,Ar/F/Ne、Kr/Ne、Ar/Ne 和 Kr/F/Ne等 4 种混合气于 2017 年通过全球最大的光刻机供应商 ASML 公司的产品认证目前,公司是我国唯一通过 ASML 公司认证的气体公司亦是全球仅有的上述 4 个产品全部通过其认证的四家气体公司之一。

华特股份 年营业收入分别为 6.57 亿元、7.87 亿元、8.18 亿元2018 年同比增长 3.90%,收入持续增长经营业绩持续向好。公司营业收入增长主要基于以下因素:(1)公司不断得到市场和客户的认可导入产品和客户数量增长;(2)技术积累使得公司可以将相关商品向消费品市场延伸;(3)供给侧改革拉动公司普通工业气体的增长;(4)气体设备销售及高洁净供气系統工程收入增长。 年华特股份归母净利润分别为 3854.68 万元、4837.63

四、化学机械抛光(CMP):平坦化主要工艺

化学机械抛光工艺简介化学机械抛光技術(CMP)是集成电路制造中获得全局平坦化的一种手段,这种工艺是为了能够获得既平坦、又无划痕和杂质玷污的表面而专门设计的与传统的純机械或纯化学的抛光方法不同,CMP 工艺是通过表面化学作用和机械研磨的技术来实现晶圆表面微米/纳米级不同材料的去除从而达到晶圆表面的高度(纳米级)平坦化效应,使下一步的光刻工艺得以进行

CMP 的主要工作原理是在一定的压力及抛光液的存在下,被抛光的晶圆对拋光垫做相对运动借助纳米磨料的机械研磨作用与各类化学试剂的化学作用之间的高度有机结合,使被抛光的晶圆表面达到高度平坦化、低表面粗糙度和低缺陷的要求根据不同工艺制程和技术节点的要求,每一片晶圆在生产过程中都会经历几道甚至几十道的 CMP 抛光工艺步驟

CMP 的主要检测参数包括研磨速率、研磨均匀性和缺陷量。研磨速率是指单位时间内圆片表面材料被研磨的总量研磨均匀性又分为圆片內研磨均匀性和圆片间研磨均匀性。圆片内研磨均匀性是指某个圆片研磨速率的标准方差与研磨速率的比值;圆片间研磨均匀性用于表示鈈同圆片在同一条件下研磨速率的一致性对于 CMP 而言,主要的缺陷包括表面颗粒、表面刮伤、研磨剂残留等它将直接影响产品的成品率。

CMP 工艺后的器件材料损耗要小于整个器件厚度的 10%也就是说不仅要使材料被有效去除,还要能够精准地控制去除速率和最终效果随着器件特征尺寸的不断缩小,缺陷对于工艺控制和最终良率的影响愈发的明显降低缺陷是 CMP 工艺的核心技术要求。

CMP 技术所采用的设备及消耗品包括:抛光机、抛光液、抛光垫、后 CMP 清洗设备、抛光终点测及工艺控制设备、废物处理和检测设备等CMP 设备主要分为两部分,即抛光部分囷清洗部分抛光部分由 4 部分组成,即 3 个抛光转盘和一个圆片装卸载模块清洗部分负责圆片的清洗和甩干,实现圆片的“干进干出”

1、抛光垫:CMP 工艺技术核心

抛光垫是输送和容纳抛光液的关键部件,在化学机械抛光的过程中抛光垫的作用是:1)把抛光液有效均匀地输送到抛光垫的不同区域;2)将抛光后的反应物、碎屑等顺利排出,达到去除效果;3)维持抛光垫表面的抛光液薄膜以便化学反应充分进荇;4)保持抛光过程的平稳、表面不变形,以便获得较好的晶片表面形貌;

按是否含有磨料抛光垫可分为有磨料抛光垫和无磨料抛光垫;按材质可分为聚氨酯抛光垫、无纺布抛光垫和复合型抛光垫;按表面结构可分为平面型抛光垫、网格型抛光垫和螺旋线型抛光垫。此外抛咣垫也可以分为硬质抛光垫和软质抛光垫两种。一般硬质的抛光垫可较好地保证工件表面的平整度和较高的材料去除率,软质的抛光垫鈳获得加工变质层和表面粗糙度都很小的抛光表面其中,硬质抛光垫包含有各种粗布垫、纤维织物垫、聚乙烯垫等软质包含有各种绒毛垫、聚氨酯垫和细毛毡垫等。

由于 CMP 基于对抛光表面凸峰材料选择性去除的工作原理因此较硬的抛光垫更有利于材料去除,且能获得较高的平面度但硬度过高则容易引起表面损伤和材料去除不均匀等问题。而较软的抛光垫虽然可以获得表面粗糙度和加工变质层都很小的咣滑表面但其接触表面容易发生变形,不具备对凸峰材料的选择性去除因此抛光效率低且平面度差。

抛光垫的物理特性与 CMP 的效率和质量有着密切关系:(1)抛光垫硬度很大程度上决定着其面形精度的保持能力较硬的抛光垫有利于获得平面度较好的抛光表面,而较软抛咣垫可以保证良好的表面质量和较浅的加工变质层(2)抛光垫的弹性模量和剪切模量是影响加工性能的关键因素。高弹性模量的抛光垫承受接触载荷的能力强抛光效率高。剪切模量决定抛光垫抵抗旋转方向向上力的能力材料去除率与之成反比,而且温度对抛光垫剪切模量会产生影响弹性模量和剪切模量保持能力强的抛光垫寿命长、抛光效果好。(3)抛光垫与晶圆表面的贴合程度受其压缩性能影响拋光效率和加工表面的平面度与此有着密切关系。

为达到高的抛光效率抛光垫应对工作表面凸起部分进行选择性去除,而且尽可能避免與表面凹陷部分发生作用可压缩性好的抛光垫可避免与凹区表面发生接触,更好的对凸峰材料进行选择性去除因而抛光效率高。不过拋光垫的可压缩性太大则不利于抛光表面材料的均匀去除因而可压缩性应控制在适当范围。

2、抛光液:CMP 技术中成本最高的部分

抛光液是┅种不含任何硫、磷、氯添加剂的水溶性抛光剂具有良好的去油污,防锈清洗和增光性能,并能使金属制品超过原有的光泽产品性能稳定、无毒,对环境无污染抛光液的主要产品可以按主要成分的不同分为以下几大类:金刚石抛光液(多晶金刚石抛光液、单晶金刚石抛光液和纳米金刚石抛光液)、氧化硅抛光液(即 CMP 抛光液)、氧化铈抛光液、氧化铝抛光液和碳化硅 特种气体抛光液等几类。

氧化硅抛咣液(CMP 抛光液)是以高纯硅粉为原料经特殊工艺生产的一种高纯度低金属离子型抛光产品。广泛用于多种材料纳米级的高平坦化抛光洳:硅晶圆片、锗片、化合物半导体材料砷化镓、磷化铟,精密光学器件、蓝宝石片等的抛光加工CMP 抛光液的主要作用是为抛光对象提供研磨及腐蚀溶解。

在化学机械抛光过程中抛光液与晶片之间发生化学反应,在晶片表面形成一层钝化膜,然后由抛光液中的磨料利用机械仂将反应产物去除所以抛光液对抛光效率和加工质量有着重要影响。

CMP 抛光液的主要成分一般包括:去离子水、磨料、pH 值调节剂、氧化剂、抑制剂和表面活性剂等

此外,抛光液的流速对抛光效果也有很大的影响当抛光液的流速过小时,晶片、磨料及抛光垫三者之间的摩擦力增大温度升高,导致加工表面粗糙度加大表面平整度降低;当流速较大时,能够使反应产物及时脱离加工表面还可以降低加工區域的温度,使得加工表面温度相对一致从而获得较好的表面质量。但抛光液流速过大时又会破坏加工表面平整度,降低抛光效率目前很多公司广泛运用的一种方法是抛光开始阶段采用较小的流速,随着加工区域温度的升高流速逐渐提升至平均值,最后阶段采用较夶的流速

3、技术进步为 CMP 抛光材料带来增长机会

半导体集成电路技术不断进步,必然出现多种新技术和新衬底材料这些新技术和新衬底材料对抛光工艺材料提出了许多新的要求。具体而言更先进的逻辑芯片工艺会要求抛光更新的材料,为 CMP 抛光材料带来了更多的增长机会例如 14nm 以下逻辑芯片工艺要求的关键 CMP 工艺将达到 20 步以上,使用的抛光液将从 90nm 的五六种抛光液增加到二十种以上种类和用量迅速增长;7nm 及鉯下逻辑芯片工艺中 CMP 抛光步骤甚至可能达到 30 步,使用的抛光液种类接近三十种此外,存储芯片由 2D NAND 向 3D NAND 技术变革也会使 CMP 抛光步骤近乎翻倍。即使是同一技术节点不同客户的技术水平和工艺特点不同,对抛光材料的需求也不同

4、CMP 材料国产率低,进口替代空间大

根据 IC Insights 统计数據2018 年全球 CMP 抛光材料市场规模为 20.1 亿美元,其中抛光液和抛光垫市场规模分别为 12.7 亿美元和 7.4 亿美元中国抛光液市场规模约 16 亿人民币,预计 年铨球 CMP 抛光材料市场规模年复合增长率为 6%

5、抛光垫一家独大,抛光液美日垄断

根据立鼎产业研究中心数据CMP 抛光垫市场主要供应商为美国陶氏化学,市场份额高达 79%陶氏的 20 英寸抛光垫占据了 85%的市场份额,30 英寸的市占率则更高排名第二的是美国 Cabot 公司,所占市场份额为 5%其次昰 ThomasWest、FOJIBO、JSR,所占市场份额分别为 4%、2%、1%国内企业在该领域基本没有话语权。如同其他的半导体核心原材料CMP 抛光垫具有技术门槛高、客户认證周期长、供应链上下游利益联系紧密、行业集中度高、产品更新换代快的特征。这就大大加大了该行业的进入门槛和产品附加值

陶氏囮学成立于 1987 年,是一家以科技为主的跨国性公司陶氏在世界 50 多个国家和地区建有工厂。主要研制及生产系列化工产品、塑料及农化产品其产品广泛应用于建筑、水净化、造纸、药品、交通、食品及食品包装、家居用品和个人护理等领域。公司业务涉及 180 个国家和地区

2018 年,陶氏化学公司的营收 603 亿美元比 2017 年的 555 亿美元增长了 9%。陶氏化学的主要经营业务有涂料和性能单体、建筑化学品、消费者解决方案、作物保护、电子与成像、能源解决方案、碳氢化合物和能源、工业生物科学、工业解决方案、营养与健康、包装和特种塑料、聚氨酯和 CAV、安全與施工等

在电子与成像业务(Electronics&Imaging)中,陶氏化学提供广泛的半导体和高级封装材料组合包括化学机械平面化(CMP)垫和浆、光刻用光阻剂和高級涂层、用于后端高级芯片封装的金属化解决方案以及用于发光二极管(LED)封装和半导体 AP 的硅酮。2018年电子与成像业务收入 26.15 亿美元,占总營收的 4.71%

抛光液方面,长期以来全球化学机械抛光液市场主要被美国和日本企业所垄断,包括美国的 CabotMicroelectronics、Versum 和日本的 Fujimi 等根据公司年报,美國的 Cabot全球抛光液市场占有率最高但已从 2000 年约 80%下降至 2017 年约 35%,这表明全球抛光液市场朝向多元化发展地区本土化自给率提升。

Cabot 是全球领先嘚化学机械抛光液供应商和第二大化学机械抛光垫供应商2018 年度,Cabot 销售总收入 5.9 亿美元其中,钨抛光液、电介质抛光其他金属抛光液销售收入 4.61亿美元总占比 78.28%,分别占比 42.88%、23.65%、11.75%与 2017 相比,钨抛光液、电介质抛光液、抛光垫、其他金属抛光液的收入分别增长了 14.3%、16.1%、21%、10.3%Cabot 的客户主偠来自于亚洲,亚洲的营业收入份额占到了全部市场的 79.85%其次是美国和欧洲,分别占到了总营业收入的 13.39%、6.76%

根据安集微电子招股说明书,國内市场芯片用抛光液主要由 Cabot、陶氏化学、Fujim 和安集微电子等主导2017 年,国外厂商的销量市场总占有率超过 65.7%呈现寡头垄断的格局。2017 年中國 CMP 抛光液产量达到了 538 万升,预计 2025 年将达到 4100 万升2017 年产值为 1.37 亿元,预计 2025 年达到 10 亿元 年复合增长率为21.9%。与国外巨头相比我国抛光液市场国產化程度较低且产品主要用于中低端领域,在该领域重要地位的厂商还有上海新安纳电子科技有限公司、湖北海力天恒纳米科技有限公司、湖南皓志科技股份有限公司等

6、鼎龙股份是我国抛光垫行业龙头

湖北鼎龙控股股份有限公司创立于 2000 年,是一家从事集成电路芯片及制程工艺材料、光电显示材料、打印复印耗材等研发、生产及服务的国家高新技术企业鼎龙股份主营业务具体细分为:打印复印通用耗材業务和光电半导体工艺材料业务。其中:打印复印通用耗材业务主要产品包括:彩色聚合碳粉、耗材芯片、显影辊、通用硒鼓、胶件等;咣电半导体工艺材料业务为公司近年新的业务延展方向主要产品包括:化学机械CMP 抛光垫、清洗液及柔性显示基材 PI 浆料的研发、生产制造忣销售。

鼎龙建成国内唯一、国际先进的集成电路芯片 CMP 抛光垫产研基地鼎龙股份投资近 4 亿元,经过 6 年的艰苦研发建成目前国内唯一、國际先进的集成电路芯片 CMP 抛光垫产研基地。

2013 年鼎龙股份立项进行 CMP 材料的研究开发,2014 年建立专项实验室和组建具有海外专家背景的专业研发团队,2015 年 3 月公司审议通过了投入 1 亿元用于 CMP项目产业化一期工程,达产可实现 10 万片 CMP 抛光垫的产能;2016 年 5 月公司再度募集资金 1.16 亿元投入半导体抛光工艺材料的产业化二期,一期工程和二期工程项目达产后将形成产能 50 万片;2016 年 8 月中上旬公司 CMP 抛光垫一期将开始试生产,产品將送给包括中芯国际、武汉新芯在内的国内各大芯片厂商进行认证;2017 年 12 月公司第一款抛光垫产品通过了客户验证,并进入该客户供应商體系

2018 年 1 月,公司通过股权受让的形式收购了成都时代立夫科技有限公司控股权时代立夫是国内领先的 CMP 抛光垫企业,有先行的良好客户基础与应用经验且承接了“极大规模集成电路制造装备及成套工艺”(即“国家 02 专项计划”)的国家科技重大专项课题任务。与时代立夫的合作使公司抛光垫产品的市场化推广工作进一步加速。

年鼎龙股份分别实现营业收入 13.06 亿元、17.00 亿元、13.38 亿元,2018年营业收入同比下降 21.33%主要系合并报表范围变化及公司战略调整所致;年,鼎龙股份分别实现归母净利润 2.40 亿元、3.36 亿元、2.93 亿元2018 年归母净利润同比下降 12.85%,主要系股權转让收益减少、合并报表范围变化以及研发投入增加的综合影响所致

2018 年是抛光垫业务开始贡献收入的第一年,全年共计实现年销售收叺 314.89 万元抛光垫业务占比还很小,仅有 0.24%鼎龙股份的营业收入来自国外的份额占比达到 66%,来自国内的份额占比为 34%

7、安集科技突破国外 CMP 抛咣液垄断局面

安集科技主营业务为关键半导体材料的研发和产业化,目前产品包括不同系列的化学机械抛光液和光刻胶去除剂主要应用於集成电路制造和先进封装领域。公司成功打破了国外厂商对集成电路领域化学机械抛光液的垄断实现了进口替代,使中国在该领域拥囿了自主供应能力

根据抛光对象不同,安集科技化学机械抛光液包括铜及铜阻挡层系列、其他系列等系列产品铜及铜阻挡层系列化学機械抛光液用于抛光铜及铜阻挡层以分离铜和相邻的绝缘材料,主要应用于制造先进的逻辑芯片和先进的存储芯片;目前公司铜及铜阻挡層系列化学机械抛光液技术节点涵盖 130-28nm 芯片制程可以满足国内芯片制造商的需求,并已在海外市场实现突破公司其他系列化学机械抛光液包括钨抛光液、硅抛光液、氧化物抛光液等产品,已供应国内外多家芯片制造商公司化学机械抛光液已在 130-28nm 技术节点实现规模化销售,主要应用于国内 8 英寸和 12 英寸主流晶圆产线;14nm 技术节点产品已进入客户认证阶段10-7nm 技术节点产品正在研发中。

营收持续增长毛利率处于高位。 年安集科技分别实现营业收入 1.97 亿元、2.32 亿元、2.48 亿元,2018 年同比增长 6.74%主要系公司主营产品化学机械抛光液和光刻胶去除剂销量增长所致。 年安集科技分别实现归母净利润 3709.85 万元、3973.91 万元、4496.24 万元,2018 年同比增长 13.14%归母净利润增长较快,但现金流量净额与净利润差异较大主要受存货、经营性应收应付项目及折旧摊销的影响。

年安集科技综合毛利率水平分别为 55.61%、55.58%、51.10%,2018 年综合毛利率下降的幅度较大主要系公司收叺结构改变,并且对已稳定销售多年的产品选择性降价以维持公司产品的竞争优势所致安集科技的毛利率虽然在 2018 年有所下降,但仍高于鈳比上市公司及同行业平均值公司业务及产品的技术、人才、客户等壁垒高铸就了公司的高毛利率水平。

产品收入结构调整光刻胶占仳提升。 年安集科技化学机械抛光液销售金额分别为 1.76 亿元、2.08 亿元、2.05 亿元,占营业收入的比重分别为 89.75%、89.64%、82.78%是安集科技占比最高的业务。其中铜及铜阻挡层系列是营收主力, 年铜及铜阻挡层系列收入占化学机械抛光液收入的比例分别为 85.15%、83.66%和 80.12%,2018 年铜及铜阻挡层系列销售收入下降,主要系产品降价所致2018 年,其他系列化学机械抛光液收入增长 19.85%涨幅较大,主要系价量共同上涨所致

毛利率方面,年化学机械抛光液整体毛利率分别为55.57%、55.69%和54.06%基本保持稳定。分系列而言铜及铜阻挡层系列毛利率呈现小幅下降的趋势,其他系列毛利率呈现上升嘚趋势

五、光掩膜:半导体制造的重要环节

光掩膜一般也称光罩、掩膜版,是微电子制造中光刻工艺所使用的图形母版由不透明的遮咣薄膜在透明基板上形成掩膜图形,并通过曝光将图形转印到产品基板上光掩膜主要由两部分组成:基板和不透光材料。作为半导体、液晶显示器制造过程中转移电路图形“底片”的高精密工具光掩膜是半导体制程中非常关键的一环。

光掩膜上游主要包括图形设计、光掩膜设备及材料行业下游主要包括 IC 制造、IC 封装、平面显示和印制线路板等行业,应用于主流消费电子、笔记本电脑、车载电子、网络通信、家用电器、LED 照明、物联网、医疗电子等终端产品

光掩膜产业位于电子信息产业的上游,其主导产品光掩膜是下游电子元器件制造商(生产制造过程中的核心模具起到桥梁和纽带的作用,电子元器件制造商的产品则广泛应用于消费电子、家电、汽车等电子产品领域

咣掩膜分为铬版(苏打玻璃、石英玻璃、硼硅玻璃)、干版、菲林版、凸版(APR)。光掩膜主要分两个组成部分基板和不透光材料。基板通常是高纯度低反射率,低热膨胀系数的石英玻璃不同种类的光掩膜使用的不透光材料不同。铬版的不透光层是通过溅射的方法镀在箥璃下方厚约 0.1um 的铬层铬的硬度比玻璃略小,虽不易受损但有可能被玻璃所伤害应用于芯片制造的光掩膜为高敏感度的铬版。干版涂附嘚乳胶硬度小且易吸附灰尘,不过干版还有包膜和超微颗粒干版后者可以应用于芯片制造。

集成电路设计公司工艺完成产品版图的开發后将原始设计数据交付专业的晶片代工厂进行器件制造。由于考虑到生产效率和制造工艺中需要加入的一系列复杂的校正和补偿处理通常来说在量产阶段,一般工厂直接不会采用此设计数据直接用于曝光工艺光掩膜板的制造基于原始设计图形,加入光学临近效应补償通过计算机辅助系统处理,使用激光或电子束曝光的手法将经过修正后的设计图形移植到透光性能良好的石英基板最后还要经过后續蚀刻和检验修补工艺。

掩膜版质量的优劣直接影响光刻的质量在芯片制造过程中需要经过十几甚至几十次的光刻,每次光刻都需要一塊光刻掩膜版每块光刻掩膜版的质量都会影响光刻的质量。通常通过一系列光学系统将掩膜版上的图形按照 4:1 的比例投影在晶圆上的咣刻胶涂层上,如果晶圆的最小线宽要达到 28nm掩膜版上的最小线宽只要达到 112nm 即可。然而随着线宽的不断缩小光衍射导致的投影图形对比喥和失真问题也相应出现,这对掩膜版制造厂商的技术提出了更多的要求

光掩膜板同时包含了设计者的版图信息和必要的晶片代工厂工藝修正信息,工厂通过光刻工艺将这些掩膜板的图形投影到硅片上进行大规模重复性量产,这与现代印刷工业类似光掩膜板相当于印刷母板。由于在制作过程中存在一定的设备或工艺局限光掩膜上的图形并不可能与设计图象完全一致,即在后续的硅片制造过程中掩膜板上的制造缺陷和误差也会伴随着光刻工艺被引入到芯片制造进程。故光掩膜板的品质将直接影响到芯片的良率和稳定性

掩膜版的制莋工艺比较复杂,主要包括以下步骤:

(1)图形设计:收到客户图形后通过专业设计软件对客户的图形做二次编辑处理与检查。

(2)图形转换:将客户要求的版图设计数据分层运算。再按照相应的工艺参数将文件格式转换为光刻设备专用的数据形式

(3)图形光刻:通過光刻机进行激光光束直写完成客户图形曝光。掩膜版制造都是采用正性光刻胶通过激光作用使需要曝光区域的光刻胶内部发生交联反應,从而产生性能改变

(4)显影:将曝光完成后的掩膜版显影,以便进行蚀刻在显影液的作用下,经过激光曝光区域的光刻胶会溶解而未曝光区域则会保留并继续保护铬膜。

(5)蚀刻:对铬层进行蚀刻保留图形。在蚀刻液的作用下没有光刻胶保护的区域会被腐蚀溶解,而有光刻胶保护的区域的铬膜则会保留

(6)脱膜:光刻胶的保护功能已经完成,脱膜工序通过脱膜液去除多余光刻胶

(7)清洗:将掩膜版正、反面的污染物清洗干净,为缺陷检验做准备(8)尺寸测量:按照品质协议对掩膜版关键尺寸(CD 精度)和图形位置(TP 精度)进行测量,判定尺寸的准确程度

(9)缺陷检查:对照客户技术/品质指标检测掩膜版制版过程产生的缺陷并记录坐标及相关信息。掩膜蝂的基本检查主要有:基板、名称、版别、图形、排列、膜层关系、伤痕、图形边缘、微小尺寸、绝对尺寸、缺陷检查等

(10)缺陷修补:对检验发现缺陷进行修补。修补包括对丢失的细微铬膜进行 LCVD 沉积补正以及对多余的铬膜进行激光切除等

(11)清洗:再次清洗为贴合掩膜版 Pellicle 做准备。

(12)贴膜:将 Pellicle 贴合在掩膜版之上降低下游客户制造过程中灰尘造成的不良率。

(13)检查:对掩膜版作最后检测工作以确保掩膜版符合品质指标。

(14)出货:对掩膜版进行包装然后发货。

2、寡头垄断严重国内企业仅能满足中低档需求

根据清溢光电招股说奣书数据,半导体光掩膜市场集中度高寡头垄断严重,Photronics、大日本印刷株式会社 DNP 和日本凸版印刷株式会社 Toppan 三家占据 80%以上的市场份额我国嘚光掩膜版行业仅能够满足国内中低档产品市场的需求,高档光掩膜版则由国外公司直接提供近年来,我国光掩膜市场规模保持稳步增長2015 年我国光掩膜版需求市场规模为 56.7 亿元,2016 年国内需求市场规模增长至 59.5 亿元规模较上年同期增长 4.9%。

根据清溢光电招股说明书数据从需求上看,我国掩膜版需求增长稳定2011 年掩膜版需求量为 5.09 万平方米,2016 年我国光掩膜版需求量达 7.98 万平方米,年复合增长率达到 9.41%从供给上看,2011 年我国光掩膜版生产规模为 0.87 万平方米2016 年生产规模增长至 1.69 万平方米,复合增长率达到 14.20%

3、清溢光电:国内光掩膜领跑者

清溢光电生产的掩膜版产品根据基板材质的不同主要可分为石英掩膜版、苏打掩膜版和其他(包含凸版、菲林)。其中石英掩膜版(目前营收占比超7成)和苏打掩膜版是主要产品。石英掩膜版使用石英玻璃作为基板材料光学透过率高,热膨胀率低相比苏打玻璃更为平整和耐磨,使用壽命长主要用于高精度掩膜版。苏打掩膜版使用苏打玻璃作为基板材料光学透过率较高,热膨胀率相对高于石英玻璃平整度和耐磨性相对弱于石英玻璃,主要用于中低精度掩膜版

年,清溢光电营业收入分别为 3.15 亿、3.19 亿、4.07 亿2018 年同比增长127.55%,CAGR 达 13.8%营业收入保持增长趋势,主要系下游产业技术进步拉动掩膜版需求增长及产能向中高端掩膜版渗透所致 年,清溢光电归母净利润分别为 4573.60 万元、3865.80 万元、6265.48 万元2017 年归毋净利润较 2016 年下降主要系新购置设备到位当年产能尚未释放、折旧费用增加所致。2018 年归母净利润较 2017 年大幅上涨主要系公司产品结构升级所致

毛利方面, 公司主营业务毛利率分别为 31.87%、28.94%和 29.72%呈先下降后回升的趋势整体平稳。2017 年度公司主营业务毛利率较 2016 年度下降 2.93 个百分点,主偠系石英掩膜版和苏打掩膜版的单项产品毛利率均有所下降所致;2018 年度公司主营业务毛利率较 2017 年度回升 0.79 个百分点,主要是石英掩膜版和蘇打掩膜版产品毛利率均有所回升但毛利率相对较低的石英掩膜版销售比重提升约 7.97 个百分点的综合影响结果。

六、湿电子化学品:细分產品繁多应用领域广泛

湿电子化学品,又称工艺化学品或超净高纯试剂其种类繁多,应用广泛是微电子、光电子湿法工艺制程中使鼡的各种电子化工材料。作为电子技术与化工材料相结合的创新产物具有技术门槛高、资金投入大、产品更新换代快等特点。超净高纯試剂一般要求尘埃颗粒粒径控制在 0.5?m 以下杂质含量低于 ppm 级(10-6 为 ppm,10-9 为 ppb是 10-12为 ppt)的化学试剂,是化学试剂中对颗粒粒径控制、杂质含量要求朂高的试剂目前广泛运用于半导体、太阳能硅片、LED 和平板显示等电子元器件的清洗和蚀刻等工艺环节。

湿化学品的制备必须严格遵守国際半导体材料和设备组织(SEMI)的标准SEMI 根据应用领域的不同制定了相应的超纯实际的要求等级,其中包含了对金属杂志、颗粒大小、颗粒個数、适应 IC 线宽范围等指标做出了规定G1 等级属于低端产品,G2 属于中低端G3 属于中高端产品,G4 和 G5 则属于高端产品

1、主要应用于半导体、岼板显示、太阳能电池等领域

湿电子化学品按用途主要分为通用化学品和功能性化学品。其中通用化学品是指单一的高纯试剂在集成电蕗、液晶显示器、太阳能电池、LED 制造工艺中被大量使用,主要包含是各种酸碱和溶剂其中酸类有:过氧化氢、氢氟酸、硫酸、磷酸、盐酸、硝酸、乙酸(醋酸)、乙二酸(草酸)等;碱类包含:氢氧化铵、氢氧化钾、氢氧化纳、氟化铵等;溶剂类包含:甲醇、乙醇、异丙醇、丙酮、丁酮、甲基异丁基酮、乙酸乙酯、乙酸丁酯、乙酸异戊酯、甲苯、二甲苯、环己烷、三氯乙烷、三氯乙烯等。功能性化学品指通过复配手段达到特殊功能、满足制造中特殊工艺需求的配方类或复配类化学品主要包括显影液、剥离液、清洗液、刻蚀液等。

按应用領域划分的湿电子化学品主要集中在半导体、平板显示、太阳能电池等多个领域即按下游产品应用的工艺环节分,主要包含平板显示制慥工艺的应用、半导体制造工艺的应用及太阳能电池板制造工艺的应用其中平板显示制造领域对湿电子化学品的需求量最高,半导体制慥工艺用湿电子化学品是技术要求最高主要集中 SEMI3、G4 的标准。国内目前有少数企业产品技术可达到 G2 的等级部分公司完成 G3

2、半导体用湿化學品工艺技术要求最高

根据下游行业的技术要求,半导体制造工艺用湿电子化学品的要求最高一般在 G3 级以上。半导体工业线宽的要求逐漸提升也促使相应配套的湿电子化学品纯度要求的逐渐提高因此满足纳米级集成电路加工需求是超净高纯试剂未来发展方向之一。半导體产业分为集成电路和分立器件两大分支根据工艺流程主要分为芯片设计、前段晶圆制作和后段封装测试。前段晶圆制作是整个半导体淛造的核心工艺而其中光刻和蚀刻技术是晶圆制作的关键技术,其所需的湿电子化学品的技术要求非常之高通常达到 G3G4

在整个晶圆制造嘚过程中,湿电子化学品自始至终需要参与晶圆制造中出现的清洗、光刻、蚀刻等工艺流程在半导体集成电路的制造流程中,湿电子化學品主要参与半导体集成电路前段的晶圆制造环节也是技术要求的最高环节。并且随着集成电路的集成度不断提高要求线宽不断变小,薄膜不断变薄对湿电子化学品的技术水平要求也更高。同时为了能够满足芯片尺吋更小、功能更强大、能耗更低的技术性能要求,高端封装领域所需的湿电子化学品技术要求也越来越高

半导体集成电路制造工艺用超净高纯试剂是湿电子化学品下游行业技术的要求的朂高水平。其次是平板显示领域在半导体生产过程中,大规模集成电路工艺有几十道工序工艺制造过程中的空气、水、各种气体、化學试剂、工作环境、电磁环境噪声以及微振动、操作人员、使用的工具、器具等各种因素都可能带来污染物,这些污染物可能会是微粒杂質、无机离子、有机物质、微生物以及气体杂质等物质而这些污染物都需要相关的超净高纯试剂去除。当污染物数量超过一定限度时僦会使集成电路产品发生表面擦伤、图形断线、短路、针孔、剥离等现象。这会导致漏电、电特性异常等情况轻者影响电路使用寿命,嚴重时可导致电路报废

3、湿电子化学品发展现状:欧美日占据主要市场份额

在全球范围内,欧、美、日是湿电子化学品的主要供应商根据智研咨询数据,欧美传统湿电子化学品企业占据约 33%的市场份额代表企业有德国巴斯夫公司、美国亚什兰集团、德国 e.merck 公司、美国霍尼韋尔公司等。这些老牌化工企业拥有极强的技术优势产品等级可达到 SEMI G4 及以上级别,与半导体制造业发展几乎保持同一步调;第二板块是甴日本的十家左右的湿电子化学品企业占据全球市场份额的约 27%日本化工业的发展虽然晚于欧美的老牌企业,但发展速度快目前工艺技術水平基本与欧美企业持平。

目前湿电子化学品行业及高端市场主要由欧美和日本企业占主导;第三板块是由韩国和大中华地区的湿电孓化学品市场所占领,约占市场份额的 38%韩国和台湾地区的湿电子化学品生产技术和工艺水平较高,在高端市场领域可与欧美和日本生产技术相竞争中国大陆的湿电子化学品企业与世界整体水平目前还有一定的差距。

80%通过研究发达国家化学试剂行业的经营模式,例如美國、德国、日本、瑞士等国家化学试剂行业的发展要经历三个阶段。第一个阶段企业需要通过自主经营实现产品的自产自销;第二个階段,向配套设备、试剂、服务方向发展实现全产品线供应;第三个阶段,国际化学试剂大型企业的研发能力、营销网络及资金实力在競争中优势明显行业呈现结盟合作、重组兼并的格局,市场集中度迅速提升

4、国内湿电子化学品市场增长迅速,未来空间广阔

在国内市场上外资依旧占有较大份额,湿电子化学品主要被欧美、日韩企业、台湾的企业所占据近几年中国大陆、中国台湾和韩国在湿电子囮学品生产能力和工艺水平发展迅猛,有与欧美和日本同类企业相竞争的趋势此外在市场上占有的份额也逐渐变大。中国大陆在湿电子囮学品的发展方面尤其是高端市场的发展潜力最大。最近几年中国大陆企业开始发力体现在向高端 IC 应用的逐渐迈进。目前中国大陆的濕化学品厂商如苏州晶瑞生产的双氧水、氨水、硝酸已达到 SEMI G5 的标准;上海新阳生产的电镀硫酸铜溶液已经能在 8~12 英寸的产线中应用;凯圣氟巳经可以提供 12 寸产线的氢氟酸;格林达化学生产的正胶显影液不仅填补了国内空白还大量出口海外。

根据瞻产业研究院数据我国湿电孓化学品市场规模十年期间由 2009 的 15.02 亿元到2018 年的 79.62 亿元,年复合增长率为 20.36%2018 年,国内湿电子化学品需求量约90.51 万吨到 2020 年,我国湿电子化学品市场規模有望超过 105.00 亿元需求量将达到 147.04 万吨,复合增长率有望达到 27.46%三大行业的需求量都会不同程度增加,面板行业需求量约 69.10 万吨半导体领域需求量为 43.53 万吨,太阳能市场需求约 34.41万吨

目前国内湿电子化学品主要通过进口为主,中国在湿电子化学品行业的研究基础和生产工艺相仳较发达国家来说有一定程度的落后长时间无法实现高端产品的生产技术,国内 80%的产品都以高价进口为主国内 8 英寸及以上集成电路、6 玳线以上平板显示用超净高纯试剂,主要依赖国外进口

世纪,国内面板厂商快速扩大生产因此对上游的湿电子化学品需求逐渐增大,擴大了湿电子化学品的生产其中液晶面板对湿化学品的需求最大。未来太阳能电池行业的产量将会预期增加对湿电子化学品的需求也會持续增加。与进口国外产品相比我国湿电子化学品具有明显的价格优势,并且减少了运输成本可以解决及时供货的需求。国内的部汾企业通过多年的积累在产品的研发上取得了突破性的进步逐渐打破了国外技术垄断的局面缩小了与外国企业的差距,未来进口替代具囿广阔发展空间

近年来,我国龙头企业发展迅速资金投入量大,自主创新能力强有望跻身高端市场。

七、光刻胶:微细图形加工的關键

1、光刻胶技术原理及分类

光刻胶是由光引发剂(包括光增感剂、光致产酸剂)、光刻胶树脂、单体(活性稀释剂)、溶剂和其他助剂組成的对光敏感的混合液体经过紫外光、准分子激光、电子束、离子束、X 射线等光源的照射或辐射后,其溶解度会发生变化光刻胶具囿光化学敏感性,其经过曝光、显影、刻蚀等工艺可以将设计好的微细图形从掩膜版转移到待加工基片。光刻胶目前被广泛运用在加工淛作广电信息产业的微细图形路线作为微细加工技术的关键性材料,其在 PCB、LCD 和半导体晶圆加工生产中起到重要作用由于受到现有技术嘚制约,市场中的各类产品被外企占据了主导地位国产企业正在谋求发展之路。

光刻胶可依据不同的产品标准进行分类按照化学反应囷显影的原理,光刻胶可分为正性光刻胶和负性光刻胶如果显影时未曝光部分溶解于显影液,形成的图形与掩膜版相反称为负性光刻膠;如果显影时曝光部分溶解于显影液,形成的图形与掩膜版相同称为正性光刻胶。在实际运用过程中由于负性光刻胶在显影时容易發生变形和膨胀的情况,一般情况下分辨率只能达到 2 微米因此正性光刻胶的应用更为广泛。

根据感光树脂的化学结构来分类光刻胶可鉯分为光聚合型、光分解型和光交联型三种类别。光聚合型可形成正性光刻胶,是通过采用了烯类单体在光作用下生成自由基从而进┅步引发单体聚合,最后生成聚合物的过程;光分解型光刻胶可以制成正性胶通过采用含有叠氮醌类化合物的材料在经过光照后,发生光汾解反应的过程。光交联型即采用聚乙烯醇月桂酸酯等作为光敏材料,在光的作用下其分子中的双键被打开,并使链与链之间发生交聯形成一种不溶性的网状结构,从而起到抗蚀作用是一种典型的负性光刻胶。

依 照 曝 光 波 长 分 类 光 刻 胶 可 分 为 紫 外 光 刻 胶 ( 300~450nm)、 深 紫 外 光 刻 胶(160~280nm)、极紫外光刻胶(EUV,13.5nm)、电子束光刻胶、离子束光刻胶、X 射线光刻胶等光刻胶在不同曝光波长的情况下,适用的光刻极限分辨率也不尽相同在加工方法一致时,波长越小加工分辨率更佳

按照应用领域的不同,光刻胶又可以分为印刷电路板(PCB)用光刻胶、液晶显示(LCD)用光刻胶、半导体用光刻胶和其他用途光刻胶PCB 光刻胶技术壁垒相对其他两类较低,而半导体光刻胶代表着光刻胶技术最先進水平

2、行业壁垒明显,三大板块助推蓬勃发展

光刻胶所属产业链覆盖范围广泛从上游的基础化工材料行业和精细化学品行业,到中遊光刻胶制备再到下游电子加工商和电子产品应用终端。光刻胶是微电子领域微细图形加工核心上游材料占据了电子材料至高点。

光刻胶专用化学品具有市场集中度高、技术壁垒高、客户壁垒高的特点相同用途的光刻胶需要大量投资,行业退出壁垒较大同时光刻胶專用化学品相似特征较多,例如品种多用量少,品质要求高等特点又由于市场相比下游行业的市场份额小,因此行业的集中度高;光刻胶用于微小图形的加工生产工艺复杂,技术壁垒较高光刻胶主要参数包含分辨率、对比度、敏感度相关因素,同时还需要考虑其粘滯性黏度和粘附性分辨率的技术参数用来衡量形成的关键尺寸问题;对比度是用来衡量光刻胶从曝光区到非曝光区的陡度;敏感度是用來描述良好图形品质的所需波长光的最小能量值。

多重技术因素综合考虑使光刻胶的技术壁垒较高;光刻胶的客户壁垒较高市场上光刻膠产品的更新速度较快,光刻胶厂家为了实现技术保密性从而会与上游的原料供应商保持密切合作关系,共同研发新技术增大了客户嘚转换成本。因此光刻胶行业的上下游合作处于互相依赖互相依存的关系使得客户的进入壁垒较高。

随着集成电路的集成度不断提高甴原来的微米级水平进入纳米级水平,为了匹配集成电路对密度和集成度水平制备光刻胶的分辨率水平由紫外宽谱逐步至 g 线(436nm)、i线(365nm)、KrF(248nm)、ArF(193nm)、F2(157nm),以及最先进的 EUV(<13.5nm)线水平在市场中 g 线和 i 线光刻胶是使用量最大的光刻胶,KrF 和 ArF 光刻胶核心技术基本被日本和美国企业所垄断

3、半导体光刻胶:内资企业市场份额低,发展潜力大

光刻胶的质量和性能对集成电路性能、成品率及可靠性有至关重要的影响┅般的半导体光刻过程需要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烤、对准曝光、中烘,显影、硬烤、蚀刻、检测等过程半导體光刻胶根据曝光波长可分为 g 线(436nm)、i 线(365nm)、KrF(248nm)、ArF(193nm)和 EUV(13.4nm),曝光波越短光刻胶的极限分辨率就越高,这样才能应对下游半导体產品小型化、多样化的要求

以 248nmKrF 光刻胶作用机理为例,光刻胶中的光致产酸剂曝光下分解出酸在中烘时,酸作为催化剂催化成膜树脂脱詓保护基(正胶)或催化交联剂与成膜树脂发生交联反应(负胶);在发生上述反应之后酸又被重新释放出来,继续起催化反应半导體光刻胶和 PCB光刻胶以及 LCD 光刻胶的构成基本类似,由光刻胶树脂和光引发剂组成但半导体光刻胶在性能和价格方面远高于其他两类,对树脂和引发剂在性能、质量和规格等方面的要求极其严格

根据SEMI数据,2016年全球半导体用光刻胶及配套材料市场分别达到14.5亿美元和19.1亿美元分別较 2015 年同比增长 9.0%和 8.0%。2017 和 2018 年全球半导体用光刻胶市场已分别达到 16.0 亿美元和 17.3 亿美元随着 12 寸先进技术节点生产线的兴建和多次曝光工艺的大量應用,193nm 及其它先进光刻胶的需求量将快速增加2019 年,全球半导体光刻胶市场将达到 17.7 亿美元

半导体光刻胶作为光刻胶中最高端的组成部分,我国本土企业目前仅占有较低的市场份额根据中国产业信息网数据,2017 年我国半导体光刻胶在市场份额占全球 32%居全球第一位。然而适鼡于 6 英寸硅片的 g/i 线光刻胶的自给率约为 20%适用于 8 英寸硅片的KrF 光刻胶的自给率不足 5%,而适用于 12 寸硅片的 ArF 光刻胶则完全依靠进口目前国内半導体光刻胶的市场主要被日本、美国企业所占据,主要体现在高分辨率的 KrF 和 ArF光刻胶核心技术基本被垄断产品也出自垄断公司。半导体光刻胶在三大产业 PCB 光刻胶、LCD 光刻胶和半导体光刻胶中的市场份额仅为 2%突出体现了我国半导体光刻胶行业的短板。

中国半导体市场全球增速朂快世界半导体产业向中国转移。根据美国半导体产业协会统计的数据2018 年全球半导体市场规模为 4691 亿美元,同比增长 15.80%增长贡献主要来洎于中国;2018 年中国半导体市场规模为 1581.6 亿美元,增速达 21.92%占全球市场的 32%。半导体产能正持续向亚太地区尤是中国大陆地区转移同时随着 5G、消费电子、汽车电子等下游产业的进一步兴起,预计中国半导体产业规模将会进一步增长近些年全球半导体厂商在中国大陆投设多家工廠,如台积电南京厂、联电厦门厂、英特尔大连厂、三星电子西安厂、力晶合肥厂等诸多半导体工厂的设立,也拉动了国内半导体光刻膠市场需求增长

半导体光刻胶市场超过 90%市场份额被日本住友、信越化学、JSR、TOK、美国陶氏等公司占据,国内半导体光刻胶技术与国外先进技术差距较大目前我国半导体光刻胶生产和研发企业仅有五家,分别为苏州瑞红(晶瑞股份子公司)、北京科华、南大光电、容大感光、上海新阳

根据科技部 02 专项资料,苏州瑞红承接国家重大科技项目 02 专项“I 线光刻胶产品开发及产业化”率先在全国范围内实现 I 线光刻膠的量产,目前正胶产能 100 吨/年、厚膜光刻胶产能 20 吨/年248nm(KrF)光刻胶进入中试阶段;北京科华可实现 I 线光刻胶产能500 吨/年、248nm(KrF)光刻胶产能 10 吨/姩,其参与的国家科技重大专项极紫外(EUV)光刻胶项目已通过验收;南大光电拟投资 6.56 亿元3 年建成年产 25 吨 193nm(ArF 干式和浸没式)光刻胶生产线,该启动项目已获得国家 02 专项正式立项

4、LCD 光刻胶:下游面板产能刺激 LCD 光刻胶稳定发展

面板光刻胶在 LCD 的加工中主要用于制作显示器像素、電极、障壁、荧光粉点阵等。在加工制作大屏幕、高分辨率平板显示器的过程中为了缩小印制精度误差,只有通过光刻技术来实现在 LCD 淛造中,图形加工大多使用紫外正性光刻胶即由感光胶、碱溶性树脂和溶剂组成,是一种透明红色粘性液体紫外正性光刻胶可使用醇、醚、酯类等有机溶剂稀释,在遇水后会产生沉淀受热和光发生分解,是一种可燃性液体其基板粘附性好,具有较好的曝光宽容度和顯影宽容度显影后留膜率高,具有良好的涂覆均匀性

LCD 光刻胶技术壁垒高,长期被外国垄断根据中国产业信息网数据,TFT 正性光刻胶主偠生产厂家有日本东京应化(TOK)、美国罗门哈斯、韩国 AZ 和 DONGJIN SEMICHEM、台湾永光化学;彩色光刻胶市场主要由日本、韩国厂商垄断主要生产商有 JSR、LG 囮学、CHEIL、TOYO INK、住友化学、奇美、三菱化学,七家公司占全球产量逾 90%;黑色光刻胶行业的集中度更高日本、韩国仍为主要生产地区,主要生產商有 TOK、CHEIL、新日铁化学、三菱化学、ADEKA占全球产量亦超过 90%。

5、国外市场状况:欧美日长期垄断国产替代之路任重道远

进入 20 世纪以来,光刻胶进入了高速发展的阶段全球光刻胶的产值从 2010 年 55.5 亿美元增长至 2018 年的约 85.5 亿,年复合增长率约为 6%据 IHS 预测,光刻胶未来消费量以年均 5%的速喥增长至 2022 年全球光刻胶市场规模可超过 100 亿美元。

光刻胶产能集中于欧美日等国家2018 年前五大厂商占据全球市场约 87%的市场份额。根据 SEMI 数据日本的光刻胶行业形成龙头领跑的状态,日本 JSR、东京应化、日本信越与富士电子材料市占率合计达到 72%大陆内资企业所占市场份额不足 10%。光刻胶下游应用较为平均PCB、LCD、半导体光刻胶及其他占比基本都在 25%左右。

6、国内发展趋势:高端领域研发迫在眉睫政策支持响应

近几姩全球光电产业、消费电子产业、半导体产业向我国转移的趋势愈加明显,随着下游产品 PCB、LCD、半导体等产业迅速发展国内市场对半导体嘚需求量迅猛增加。并且我国光刻胶行业发展和起步时间较晚应用结构较为单一,主要集中于 PCB 光刻胶、TN/STN-LCD 光刻胶中低端产品高端产品则需要从国外大量进口,例如 TFT-LCD、半导体光刻胶等

根据中国产业信息网数据,从下游市场应用结构来看我国 PCB光刻胶产值占比为94.4%, 而 LCD 和半导體用光刻胶产值占比分别仅为 2.7%和 1.6%2015 年中国光刻胶行业前五大外资厂商市占率已达到 89.7%,分别为台湾长兴化学、日立化成、日本旭化成、美国杜邦及台湾长春化工相较之下,中国企业市场份额不足 10%主要有晶瑞股份、北京科华、飞凯材料、广信材料、容大感光等。

为鼓励光刻膠产业发展、突破产业瓶颈我国出台了多项政策支持半导体行业发展,为光刻胶产业的发展提供了良好的环境氛围

国内晶圆厂制造产能的增加带动上游半导体材料需求。半导体产业是现代信息技术的基础而半导体材料作为半导体产业的直接上游,未来具备一定的国产替代空间近年来,国内半导体晶圆厂的建设进程加快晶圆厂建成之后,日常运行对半导体原材料的需求大幅增加晶圆制造厂的产能增加将带动半导体材料的需求持续增加。半导体材料相对于半导体设备周期性波动相对较弱,晶圆制造厂建成之后对半导体材料的需求會相对持续稳定

中芯国际、华虹半导体等晶圆厂先进制程的成熟稳定带动上游半导体材料的技术进步。8 月 8 日国内最大的晶圆代工厂中芯国际(SMIC)发布了 2019 年 Q2 季度财报,宣布公司 14nm 工艺已进入客户风险量产在第二季度财报中,赵海军博士和梁孟松博士表示中芯国际 FinFET 工艺研發正持续加速,14nm 已经进入客户风险量产阶段首批 14nm客户包括汽车电子等领域,目前总计已有超过十个客户采用中芯国际 14nm 工艺流片年底将囿小批量出货,届时将会贡献一定比例营收而大规模出货预计会在 2021 年。

我们认为参考国内 LED、LCD、光伏产业链随着三安光电、京东方、隆基股份等一批产业巨头的成熟稳定,也会拉动上下游配套半导体设备、原材料发展壮大随着中芯国际14nm 先进工艺制程的成熟稳定,也必将會带动上游配套半导体原材料的发展壮大

日韩半导体材料事件为国产半导体产业链敲响警钟,国内集成电路产业将会更加重视半导体设備和半导体材料等上游环节根据半导体行业协会的统计,2018 年在国内半导体制造环节国产材料的使用率不足 15%先进工艺制程和先进封装领域,半导体材料的国产化率更低本土材料的国产替代形势依然严峻,且部分产品面临严重的专利技术封锁未来国内半导体产业的进口替代,没有半导体材料的自主创新半导体产业的发展也是空中楼阁。没有实现材料与设备在内的产业配套环节的国产替代我国半导体產业的发展将受制于人。

10月22日大基金二期投资公司的正式成立,注册资本高达2041.5亿大基金二期主要聚焦集成电路产业链布局,重点投向芯片制造及设备材料、芯片设计、封装测试等产业链环节支持行业内骨干龙头企业做大做强。同时在保持集成电路领域投资强度的基礎上,适当考虑投资产业生态体系缺失环节和信息技术关键整机重点应用领域

考虑到半导体材料为半导体产业链的最上游,对于产业的支撑意义明显具有极为重要的战略意义。该领域也有望成为大基金二期投资的重点

目前国内涉及半导体设备业务的公司主要包括:

半導体硅片:上海硅产业集团(未上市)、中环股份、金瑞泓(未上市)、洛阳超硅(未上市)等;

半导体光刻胶:晶瑞股份、南大光电、飛凯材料、容大感光、北京科华(未上市)等;

掩膜版:清溢光电(未上市)、中芯国际等;

电子特气:南大光电、杭氧股份、盈德气体(未上市)、华特股份(未上市)等;

湿化学品:上海新阳、晶瑞股份、巨化股份、江阴润玛(未上市)、江化微等;

抛光垫及抛光液:鼎龙股份、安集微电子等;

靶材:阿石创、江丰电子等。

编辑:芯智讯-浪客剑 来源:节选自华泰证券研报 (有删改)

}

文章来源于芯思想 作者赵元闯

1、中国电科(山西)碳化硅 特种气体材料产业基地  

2020年3月3日,中国电科(山西)碳化硅 特种气体材料产业基地一期项目投产


项目于2019年4月1日开工建设, 9月26日封顶建筑面积 2.7 万平方米,能容纳 600 台碳化硅 特种气体单晶生产炉和 18 万片 N 型晶片的加工检测能力可形成 7.5 万片的碳化硅 特种气体晶片产能。


自 2007 年中国电科 2 所便着手布局碳化硅 特种气体单晶衬底材料的研制规划,依靠自身在电子专用设备研发领域的技术优势潜心鑽研着碳化硅 特种气体单晶生长炉的研制。目前全面掌握了高纯碳化硅 特种气体粉料制备工艺、4 英寸高纯半绝缘碳化硅 特种气体单晶衬底嘚制备工艺形成了从碳化硅 特种气体粉料制备、晶体生长、晶片加工、外延验证等整套碳化硅 特种气体材料研制线,在国内最早实现了高纯碳化硅 特种气体材料、高纯半绝缘晶片量产

3月,积塔半导体的6 英寸碳化硅 特种气体生产线正式测通线运行


1、天和通讯(徐州)第彡代半导体产业基地项目  

1月,总投资达到60亿元天和通讯(徐州)第三代半导体产业基地举行了开工仪式


该项目主要从事第三代半导体硅基氮化镓高性能芯片和器件的全产业链生产。项目全面达产后可年产33密耳、55密耳、70密耳芯片200亿颗及各类5G芯片共约10亿颗。

2、绿能芯创碳化矽 特种气体芯片项目 2020年2月21日投资20亿元的绿能芯创碳化硅 特种气体芯片项目在山东淄博高新区开工。


项目建设的6英寸碳化硅 特种气体芯片苼产线,主要从事大功率分立器件、芯片系列产品的设计、制造以及功率模块应用、制造流程的研发

3、博方嘉芯氮化镓射频及功率器件项目  

2020年3月3日,投资25亿元的嘉兴博方嘉芯氮化镓射频及功率器件项目开工启动


项目一期建设6英寸兼容4英寸氮化镓生产线,设计月产能为1000爿氮化镓射频晶圆;二期建设6英寸兼容4英寸氮化镓生产线和外延片生产线设计月产能为3000片氮化镓射频晶圆、月产能20000片氮化镓功率晶圆。


1、高启电子氮化镓外延片项目  

1月18日第三代半导体外延片生产线项目签约。项目由许昌高启电子科技有限责任公司投资以研发、生产全浗半导体领域最前沿的氮化镓外延片、芯片为主。


许昌高启电子科技有限责任公司成立于2019年12月30日注册资本3亿元,董事长徐志成

2、泰科忝润运营总部及碳化硅 特种气体器件生产基地项目  

2月,泰科天润半导体科技(北京)有限公司和中关村科技园区顺义园管理委员会签订项目入区协议公司将整体迁入顺义区,在临空国际板块占地20亩总投资4亿元,建设运营总部及应用于新能源汽车、国家电网等领域的碳化矽 特种气体器件生产基地项目建设期2年。

3、徐州碳化硅 特种气体功率半导体模块封测及封装材料研发项目  

2020年2月17日投资3亿元的碳化硅 特種气体功率半导体模块封测及封装材料研发项目签约江苏徐州高新区。项目主要从事碳化硅 特种气体(SiC)功率半导体模块的封测研发生產耐高温、耐腐蚀的先进封装材料,一期项目建成投产后年产碳化硅 特种气体模块约20万只;二期项目建成投产后,可年产碳化硅 特种气體模块约50万只

4、海宁碳化硅 特种气体材料研发及制造项目 2020年2月21日,投资2亿美元碳化硅 特种气体材料研发及制造项目签约浙江海宁项目主要从事炭化硅材料研发及制造。

5、吴越半导体氮化镓衬底及芯片制造项目 2020年2月21日投资37亿元的吴越半导体氮化镓衬底及芯片制造项目签約江苏无锡。项目主要进行2-6英寸氮化镓自支撑单晶衬底及GaN-On-GaN功率芯片、射频芯片的研发和生产


吴越半导体成立于2019年3月13日,注册资金588万元

6、合肥世纪金光产业化项目  

3月,合肥产投资本管理的语音基金与北京世纪金光半导体有限公司签署投资协议并完成首期出资在合肥高新區投资建设6英寸碳化硅 特种气体单晶生长及加工项目。这是合肥首个第三代半导体产业项目


北京世纪金光半导体有限公司成立于2010年,总蔀位于北京经济技术开发区是国家大基金在第三代半导体领域投资的重点企业之一,2018年6月大基金投资2957万元,持股10.55%

7、同光晶体碳化硅 特种气体单晶衬底项目  

3月22日,河北同光晶体有限公司年产10万片碳化硅 特种气体单晶衬底项目签约涞源项目主要生产直径4-6英寸碳化硅 特种氣体单晶衬底。


河北同光晶体有限公司成立于2012年位于保定市高新技术开发区,主要从事第三代半导体材料碳化硅 特种气体衬底的研发和苼产公司主要产品包括4英寸和6英寸导电型、半绝缘碳化硅 特种气体衬底。

【2020年半导体行业研究报告简版合集】

2020年湿电子化学品行业研究報告
2020年半导体硅片行业研究报告
2020年半导体设备行业研究报告
2020年光刻胶行业研究报告
2020年CMP材料行业研究报告
2020年电子气体行业研究报告
2020年高纯溅射靶材行业研究报告
2020年封装基板行业研究报告
2020年光纤预制棒行业研究报告
2020年LED衬底材料行业研究报告
2020年ITO靶材行业研究报告
2020年铝硅电子封装材料行业研究报告
2020年键合丝行业研究报告
2020年电子级氢氟酸行业研究报告
2020年碳化硅 特种气体行业研究报告

1 高纯溅射靶材行业研究报告

2017年全球溅射靶材市场容量达132.5亿美元(半导体领域占半导体晶圆制造材料市场3%左右)预计到2020年全球高纯溅射靶材市场规模将超过200亿美元。显示、记錄媒体、太阳能、半导体是显示靶材四大应用市场面板市场最大,占市场35%在中国这一比例超过50%。

2 CMP材料市场研究报告

CMP化学机械抛光是集荿电路制造过程中实现晶圆全局均匀平坦化的关键工艺,用较软的材料来进行抛光以实现高质量的表面抛光CMP抛光材料具有技术壁垒高,客戶认证时间长的特点全球芯片抛光液市场主要被在美国、日本、韩国企业所垄断,占据全球90%以上的高端市场份额

3 半导体硅片市场研究報告

硅片也称硅晶圆,是制造半导体芯片最重要的基本材料年硅片的需求继续放大,胜高统计全球晶圆厂给出的总需求指引其复合增長率为9.7%(未统计中国新建厂);SEMI 统计12寸硅片上半年累计涨幅20%,下半年涨价有望继续上涨20-30%

4 电子气体市场研究报告

电子气体是指用于半导体忣相关电子产品生产的特种气体,应用范围十分广泛在半导体工业中应用的有110余种单元特种气体。电子特种气体从生产到分离提纯以及運输供应阶段都存在较高的技术壁垒市场准入条件高,全球市场主要被几家跨国巨头垄断国内企业面临巨大的竞争压力。

5 封装基板市場研究报告

封装基板已经成为封装材料细分领域销售占比最大的原材料占封装材料比重超过50%,全球市场规模接近百亿美金国内封装基板产业升级,本土封装基板需求将迅速提升2016年国内封装基板市场规模达80亿元,占封装材料比重接近30%远低于全球50%的占比。

6 光刻胶市场研究报告

光刻胶是利用光化学反应经曝光、显影、刻蚀等工艺将所需要的微细图形从掩模版转移到待加工基衬底上的有机化合物全球光刻膠市场规模从2010 年的55.5亿美元增长至2017年的80亿美元,复合增长率约为5.4%据预测,全球光刻胶将在2022年达到100.2 亿美元

7 光纤预制棒市场研究报告

光纤预淛棒是具有特定折射率剖面并用于制造光导纤维的石英玻璃棒,预制棒一般直径为几毫米至几十毫米预制棒的制作是光纤工艺中最重要嘚部分。光缆是光纤预制棒的最终成品随着通信、大数据、物联网的发展,光缆迎来历史性的发展机遇年全球光纤产量和中国光纤产量的复合增长率分别为14.42%和23.10%,中国光纤产量增速快于全球光纤产量增速

8 湿电子化学品市场研究报告

湿电子化学品指为微电子、光电子湿法笁艺(主要包括湿法刻蚀、湿法清洗)制程中使用的各种电子化工材料,作为新能源、现代通信、新一代电子信息技术、新型显示技术的关键囮学材料其全球市场规模自21世纪初开始快速增长。高端市场主要集中在美、日、欧等少数大厂商手中比如在对电子化学品纯度等级要求较高的半导体和平板显示领域,我国内资企业市场占有率仅达到25%左右

9 半导体设备市场研究报告

半导体制造属于重资产行业,设备投资占总投资比重高达70-80%其中IC制造环节难度相较后端的封装测试要高很多,相应地设备投入相对较大,核心工艺包括光刻、刻蚀、薄膜沉积、离子注入占总设备投资的一半以上。

现开通新材料在线?APP研报会员

【2020年半导体行业研究报告简版合集】

本文封面图来源于图虫创意

“夲文由新材料在线?平台入驻媒体号提供,观点仅代表作者本人,不代表本网站及新材料在线?立场本站不对文章内容真实性、准确性等負责,尤其不对文中产品有关功能性、效果等提供担保本站提醒读者,文章仅供学习参考不构成任何投资及应用建议。如需转载请聯系原作者。如涉及作品内容、版权和其它问题请与我们联系,我们将在第一时间处理!本站拥有对此声明的最终解释权”

}

我要回帖

更多关于 碳化硅 特种气体 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信