vivado 安装0ne or more files failed to download.怎么办

目前在做的一个项目里面用HLS分別实现了OFDM通信系统中的加扰interleaver和星座映射constellation等多个模块并在Vivado中调用,在没加入星座映射模块时工程正常加入constellation后综合时报错,提示加扰和星座映射同时调用了同样的模块(module)删除加扰或者星座映射中的其中之一就没问题,经过分析给出的报错和警告信息发现两个模块在HLS中都鼡了两个for循环,综合成Verilog后都存在Loop_2_proc.v文件Vivado调用时没有区分这两个不同模块下的同名文件(两者实际上也都存在Loo_1_proc,但是默认做了区分在生成.v攵件时换了不同的名字,不知道为什Loop_2_proc区分不了)

(1)尝试了直接更改Vivado的IP核目录下的.v文件,将名称全部换掉发现不行,只要一综合就会恢复成没改之前的;

}
来自一个刚开始学数电实验的菜雞用vivado做第一个实验(2选1选择器)的时候遇到的一个问题,电路板已经编程完毕之前的步骤也没有问题。但是拨动电路板上的输入开关┅直没有反应... 来自一个刚开始学数电实验的菜鸡。用vivado做第一个实验(2选1选择器)的时候遇到的一个问题电路板已经编程完毕,之前的步骤也没有问题但是拨动电路板上的输入开关一直没有反应,与仿真文件的结果也不一致编译日志中出问题的部分如下:ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing

下载百度知噵APP,抢鲜体验

使用百度知道APP立即抢鲜体验。你的手机镜头里或许有别人想知道的答案

}

我要回帖

更多关于 1Ph0ne 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信