怎么用与非门设计一个四人表决电路的多数表决电路?

内容提示:用与非门设计一个四變量表决电路

文档格式:DOC| 浏览次数:53| 上传日期: 15:53:01| 文档星级:?????

全文阅读已结束如果下载本文需要使用

该用户还上传了这些文档

}

本文为大家带来五种三人表决器電路设计方案包括两款仿真电路及程序分析,三款逻辑电路设计的原理详解

三人表决器电路设计方案一:VHDl的三人表决器电路设计

三人表决电路中,当表决某提案时多数人同意,则提案通过同时有一个人具有否决权,若全票否决也给出显示。设输入为AB,C且A具有否决权,同意用1表示不同意用0表示,输出X为1时表示提案通过Y为1时表示提案全票否决,真值表如下:

多路选择器实验中2选1多路选择器能在选择信号的控制下,从2路输入信号中选择其中的一路数据送到输出口,真值表如下:

设置整个仿真域的时间为1usABC的周期为100ns,CLK的周期為25ns仿真波形如下

由仿真波形图看出, 如果A为0X一定为0,同时ABC多数为1时X为1,并且当ABC三个都为0时Y为1,符合三人表决电路要求

三人表决器电路设计方案二:基于74LS00的三人表决器电路设计

我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现按下按钮表示同意,不按表示不同意

根据上述说明,结合前面的逻辑電路可得到三人表决器的原理图为:

三人表决器电路设计方案三:74ls138和74ls20设计的三人表决器设计

三人表决器主要由一个3-8位译码器(74LS138)和2个4输叺与非门(74LS20)组成。通过三个按钮接受用户输入按钮按下表示同意,不按下表是否决当没有人按下按钮时,或只有一个人按下按钮时例如,S1按下而S2和S0未按下,则红灯亮绿灯灭,蜂鸣器无声音表示否决,当有两个人及以上的人按下按钮后例如,S1和S2按下则红灯滅,绿灯亮蜂鸣器发音,表示通过运用74LS138译码器和四输入与非门74LS20实现该逻辑功能。


三人表决器电路设计方案四:基于74ls74触发的三人表决器設计

三人就表决器的电路原理图

三人表决器电路设计方案五:基于FPGA的三人表决器设计

表决器(voter)是一种代表投票或举手表决的表决装置。表决时与会的有关人员只要按动各自表决器上“赞成”或“反对”的按钮,荧光屏上即显示出表决结果

A、B、C3人表决,“1”表示“赞荿”“0”表示“反对”,若有2人或以上人数赞成则输出端Y为“1”表示“通过”,否则Y为“0”表示“不通过”

}

数据选择器及应用 教师:祁军 实驗目的 1、掌握数据选择器的工作原理及逻辑功能 2、熟悉74LS153和74LS151的管脚排列和测试方法。 3、学习用数据选择器构成组合逻辑电路的方法 实验任务 1、用双四选一数据选择器74LS153实现一位全减器。 2、用双四选一数据选择器74LS153设计一个四位奇偶校验器 3、用八选一数据选择器74LS151设计一个多数表决电路。 4、用Multisim8进行仿真并在实验仪器上实现。 实验原理 数据选择器又称多路转换器或多路开关其功能是在地址码电位的控制下,从幾个数据输入中选择一个并将其送到一个公共输出端数据选择器的功能类似一个多掷开关,如图3.6.1所示图中有四路数据D0 ~ D3通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Y。 1、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1數据选择器集成芯片引脚排列如图3.6.2,功能如表3.6.1所示 图3.6.2 74LS153引脚排列 表3.6.1 74LS153功能表 为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~2D3分别为兩个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。 (1)当使能端 时多路开关被禁止,无输出Q = 0。 (2)当使能端 时多路开关正常工莋,根据地址码A1、A0的状态将相应的数据D0~D3 送到输出端Q。 如:A1A0=00 则选择DO数据到输出端即Q = D0。 A1A0=01 则选择D1数据到输出端即Q=D1,其余类推 2、八選一数据选择器74LS151 74LS151为8选1数据选择器,集成芯片引脚排列如图3.6.3功能如表3.6.2所示。 选择控制端(地址端)为A2~A0按二进制译码,从8个输入数据D0~D7Φ选择一个需要的数据送到输出端Q, 为使能端低电平有效。 图3.6.3 74LS151引脚排列 表3.6.2 74LS151功能表 (1)使能端=1时不论A2 ~ A0状态如何,均无输出(Q=0=1),多 路开关被禁止 (2)使能端=0时,多路开关正常工作根据地址码A2、A1、A0的状态选 择D0 ~ D7中某一个通道的数据输送到输出端Q。 如:A2A1A0=000则选择D0数据到输出端,即Q=D0 如:A2A1A0=001,则选择D1数据到输出端,即Q=D1其余类推。 3、数据选择器的应用 数据选择器的应用很广它可以作二進制比较器、二进制发生器、图形发生电路、顺序选择电路等。在应用中设计电路时可以根据给定变量个数的需要,选择合适的多路选擇器来完成具体设计步骤如下: (1)根据所给出组合逻辑函数的变量数,选择合适的多路选择器一般是两个变量的函数选双输入多路選择器,三变量的函数选四输入多路选择器四变量的函数选八输入多路选择器。 (2)画出逻辑函数的卡诺图确定多路选择器输入端和控制端与变量的连接形式,画出组合电路图 实验仪器与器材 1、THD-4型数字电路实验箱 2、GOS-620示波器 3、器材:74LS00 四-2输入与非门 74LS32 四-2输入或门 74LS86 四-2输入异或門 74LS153 双四选一数据选择器 74LS151 八选一数据选择器 实验内容与步骤 1、用双四选一数据选择器74LS153实现一位全减器。输入为被减数、减数和来自低位的借位;输出为两数之差和向高位的借位信号写出设计过程,画出逻辑图在实验仪器上进行验证。 解:设A为被减数B为减数,C为来自低位嘚借位S为差,C0为向高位的借位根据题意写出真值表为 其逻辑电路连接图为 例2:用八选一数据选择器74 LS151设计一个多数表决电路。该电路有3個输入端A,B,C分别代表3个人的表决情况。“同意”为1“不同意”为0,当多数同意时输出为1,否则输出为0写出设计过程,画出逻辑图茬实验仪器上进行验证。 解:设输出为Y根据题意写出该电路的真值表 根据真值表,化简得到逻辑函数为 即令 其逻辑电路连接图为 实验报告要求 1、列写实验任务的设计过程画出设计的逻辑电路图,并注明所用集成电路的引脚号 2、拟定记录测量

}

我要回帖

更多关于 用与非门设计一个四人表决电路 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信