求一篇关于工程机械租赁会计方面的外文文献献,最好有对应翻译,字数越多越好

专业文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买专业文档下载特权礼包的其他会员用户可用专业文档下载特权免费下载专业文档。只要带有以下“專业文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

}

武汉理工大学开放性实验说明书 目 录 1 技术指标2 1.1 实验目的2 1.2 实验要求2 2 实验所需设备及实验原理2 2.1实验设备2 2.2 CPLD驱动基本原理2 2.3 CCD驱动时序要求5 3 实验内容及步骤8 3.1实验内容8 3.2实验步骤8 4 实验过程汾析9 4.1 变频部分9 4.2 SH信号与Φ1、Φ2信号部分9 4.3 SP、CP、RS信号部分12 5实验结果分析及调试过程14 5.1 软件仿真结果分析14 5.2 测试结果分析15 5.3 调试过程17 6 心得体会22 7 参考文献22 基於VHDL的彩色线阵CCD驱动电路设计 1 技术指标 1.1 实验目的 1、了解线阵CCD驱动时序要求; 2、学会QuartusII软件基本使用方法; 3、学会使用VHDL语言编写基本逻辑时序并設计出线阵CCD驱动电路 1.2 实验要求 1、能够独立使用QuartusII软件编写时序; 2、能够使用CPLD驱动板让线阵CCD正常工作。 2 实验所需设备及实验原理 2.1实验设备 1、CPLD驅动板一块(附带下载线一条)以及12V电源; 2、双踪示波器一台(带宽50MHZ以上); 3、计算机一台以及QuartusII软件一套 2.2 CPLD驱动基本原理 CPLD驱动板分布图如圖1所示 图1 CPLD驱动板分布 CPLD驱动板主要包括电源模块、时钟输入模块、JTAG下载模块、主芯片、驱动信号处理模块、CCD模块、测试区以及扩展I/O口。各模塊内容以及功能如下 电源模块包括12V电源输入和12V转5V电路实验板有三个电源开关,分别为NO1、NO2、NO3NO1为实验板电源输入开关,闭合此开关12V转5V电路笁作;NO2为5V电源开关闭合此开关实验板有5V电源输入;NO3为CCD电源开关,闭合此开关CCD模块有12V电源输入 时钟输入模块时钟输入模块为50MHZ有源晶振提供,可以在CPLD模块中分频和倍频得到不同的频率时钟 JTAG下载模块该模块是程序JTAG下载口,通过该模块实现程序下载 CPLD模块该模块包涵一块CPLD芯片囷I/O口。 驱动信号处理模块该模块包涵十二路非门电路实现对驱动信号的处理,提高驱动信号的驱动能力 测试点该模块包涵各路信号的檢测点。 CCD模块该模块包涵CCD芯片以及外围电路该模块与CPLD下载板通过一条20芯排线连接。 基于CPLD的CCD驱动原理图如图2所示 图2 基于CPLD的CCD驱动原理图 图中咗边clk是时钟输入程序模块中间CCD模块是VHDL编写的CCD驱动程序形成的bdf程序模块,右边输出的是CCD驱动信号输出 2.3 CCD驱动时序要求 图3 CCD驱动信号的时序 如圖3所示,整个CCD的驱动信号的各个支路的相互关系已经给出其中具体要求如图4所示。 图4 各个支路的相互关系 其中驱动时序中各个时间参量嘚要求和各个信号的时钟特性分别如表1、表2所示 表1 驱动信号中各个时间参量的要求 表2 驱动信号中各个信号的时钟特、特性要求 3 实验内容忣步骤 3.1实验内容 (1)使用QuartusII软件进行编程实验,完成分频程序、延时程序和CCD驱动时序的编程并进行仿真与实验要求比较是否合格; (2)使鼡QuartusII软件下载程序至CPLD驱动板,用双踪示波器测试各个信号是否符合实验要求; (3)使用CPLD驱动板驱动线阵CCD芯片用双踪示波器观测CCD是否正常工莋。 3.2实验步骤 (1)基本编程打开QuartusII软件,建立新工程建立新vhdl文件和波形文件并保存在相应工程内,编写分频程序并仿真比较仿真结果囷自己理论结果是否一致,按照理论结果调试程序直到仿真结果符合理论要求; (2)下载文件打开CPLD驱动板电源(即NO1和NO2开关),使用QuartusII软件囷JTAG下载线下载工程文件下载完毕后用双踪示波器观察相应管脚输出波形是否正确,若有问题请指导老师检查; (3)编写CCD驱动程序打开QuartusII軟件,建立新工程建立新vhdl文件和波形文件并保存在相应工程内,编写程序并仿真比较仿真结果是否符合驱动要求,按照驱动要求调试程序直到仿真结果符合驱动要求 (4)下载CCD驱动程序,按照第二步下载CCD驱动程序文件至CPLD驱动板用双踪示波器观测Q1\Q2\SH\CP\RS\CP管脚输出波形是否正确,若有问题请指导老师检查; (5)驱动CCD芯片在第四步成功的基础上打开CCD电源开关(即NO3开关),用双踪示波器观察CCD是否正常工作若有问題请指导老师检查。 (6)关闭示波器; (7)关闭CPLD驱动板电源 4 实验过程分析 根据设计要求编写程序,分以下几个步骤 4.1 变频部分 首先将50MHz的CLK信號分至25MHz、12.5MHz、6.25MHz等利用触发信号使一个整数变量增加或减少。整数的数值对应不同的驱动频率利用此原理即可实现2到5个频率(或更多)的切换。 本实验中利用更为简单的方法实现2个频率的相互切换利用高低电平的变换改变驱动频率,不仅效果良好而且节省寄存器资源。 10 processclk,ad begin ifclk event clkin 1 then D CP; CP D; end if; end process; 圖8 RS与CP的效果图 图7 Φ1与RS的效果图 整个时序图的仿真效果如图9所示其中信号经过反相。 图9 整个时序图的仿真效果 5实验结果分析及调试过程 结果分析分为软件仿真结果分析和测试结果分析两个部分 1. 利用Quartus软件在计算机上进行仿真,观察结果图形的正确与否来改写程序得出最后汸真结果图,如图9所示 2. 将正确的程序通过JTAG下载线下载入CPLD模块中,利用双踪示波器观察SH、Φ1、Φ2、SP、CP、RS等相应管脚输出波形是否正确以忣观察CCD是否正常工作。 5.1 软件仿真结果分析 对第一次仿真得到的图像进行观察后发现有一下问题。如表3所示 表3 软件仿真后的问题及解决办法 问题 导致的现象 解决办法 SH信号Φ1信号的同步问题 仿真图的每一个新周期开始后,两者相对位置发生错乱具有不稳定关系。 通过一个統一的计数器对周期进行描述即通过一些条件来控制计数器的值,使其具有如图9(或图6)的形状 SP信号的周期与Φ不匹配。 SP信号的下降沿位置经过若干次周期后相对Φ信号偏移教为厉害。 严格控制周期变量,使保证Φ与SH信号周期匹配 ··· ··· ···· 5.2 测试结果分析 根据TCD225D时序圖的要求进行编程写好相应的程序代码后,按要求分配管脚通过数据线将编译好的程序下载到芯片中,接通电源观察CCD效果 出现以下問题。如表4所示 表4 测试遇到的问题及解决办法 问题 导致的现象 解决办法 像元个数与实际像元个数相比计算过多 CCD的有效输出范围只占SH周期嘚一半左右。 校准像元个数更改计数器的周期。使CCD的输出范围与SH周期差不多效果如图10(或图11)所示。 光照较强时现象不明显 CCD不能传送稳定有效的信息。 在弱光区观察效果如图10(或图11)所示。 信号相位定义相反 CCD没有现象产生 调整相位使其与管脚要求匹配如图9所示。 ··· ··· ··· 调整完毕后将一棒状物遮在CCD上时,出现预期现象其中标准频率的现象效果如图10所示。变低频信号后的现象效果如图11所示 图10 标准频率的输出效果 图11变频信号的输出效果 5.3 调试过程及程序代码 第一次去实验室测试时,程序成功下载到CPLD模块中通过双踪示波器观察各个引脚的输出波形大致是正确的。打开CCD电源开关用遮挡物遮住CCD的一部分,示波器中显示的波形有预期的变化但是,由于程序编写原因导致采样脉冲时间过长使得波形与实验要求还是有一定的差别,从而重新编写改善程序 在第二次实验室的测试过程中,一开始由於电脑原因导致程序未能成功下载到CPLD模块中双踪示波器观察各个引脚的输出波形状中,SH短脉冲脉冲是朝下的并不符合实验要求,并且通过示波器同时观察Q1和Q2两个信号脉冲时第二个脉冲波形横向来回晃动很不稳定。且打开CCD电源开关后示波器没有观测到CCD的波形情况,说奣此次调试没有成功驱动CCD 改善程序后,我们去实验室进行第三次测试运行良好。结果正确现象如图10和图11所示。 程序代码略 6 心得体會 CCD驱动设计程序的开放性实验即将结束,这次课外设计性实验对我们而言受益匪浅由于是上学期学习了光电技术课程,所以在对线阵CCD驱動程序的设计过程中碰到了些许困难然而我们深知CCD在光电技术中是很注重应用的,所以我们认为这是一个非常好的能够让我们充分学习CCD囷它的驱动电路知识的机会在此次开放性实验中我们回顾了线阵CCD驱动原理和它的脉冲时序及相位关系,掌握了二相线阵CCD的基本工作原理理清了其中的思路。同时利用课外的时间我们从图书馆借阅了许多有关CPLD和VHDL语言知识的书籍,通过广泛的阅读来理解VHDL语言和如何利用这門语言来驱动CCD此外也利用网络查阅了相关文献,以此来更加深入地去了解驱动电路的设计原理 在编程调试的过程中,我们同学之间进荇了充分的讨论对有关编写程序思路上的方法有着很强的思想上的碰撞。在这中间大家都充分感受到了团体的力量在一个小团体中我們也应该互帮互助,从而达到事半功倍的效果 开放性实验是我们专业课程知识和相关课外知识综合应用的实践训练,让我们能够将理论囷实践相结合现在写的这份总结报告,在很大程度上提高了我们的语言组织和表达能力使我们又一次回顾了实验的整个过程,里面蕴含的点点滴滴有着我们许许多多的汗水和辛劳。非常感谢老师和同学的帮助让我们经历、尝试、学到了很多,以后我们会更加珍惜和紦握这种难得的锻炼机会 7 参考文献 [1] 郑燕. 基于VHDL语言与Quartus II软件的可编程逻辑器件应用与开发.北京国防工业出版社,] 姜雪松. VHDL设计实例与仿真.北京機械工业出版社] 徐志军. EDA技术与VHDL设计.北京电子工业出版社,] 王开军. 面向CPLD/FPGA的VHDL设计.北京机械工业出版社 大学本科生毕业设计(论文)撰写规范 本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科學实验和科学研究的初步尝试也是学生在教师的指导下,对所进行研究的适当表述还是学生毕业及学位资格认定的重要依据。毕业论攵撰写是本科生培养过程中的基本训练环节之一应符合国家及各专业部门制定的有关标准,符合汉语语法规范指导教师应加强指导,嚴格把关 1、论文结构及要求 论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。 1.1 题目 论文题目应恰当、准确地反映论文的主要研究内容不应超过25字,原则上不得使用标点符号不设副标题。 1.2 摘要与关键词 1.2.1 摘要 本科生毕业设计(论文)的摘要均要求用中、英两种文字给出中文在前。 摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论文字要精炼,具有一定的独立性和完整性摘要一般应在300字左右。摘要中不宜使用公式、图表不标注引用文献编号,避免将摘要写成目录式的内容介紹 1.2.2 关键词 关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准)一般列3~5个,按词條的外延层次从大到小排列应在摘要中出现。 1.3 目录 目录应独立成页包括论文中全部章、节的标题及页码。 1.4 论文正文 论文正文包括绪论、论文主体及结论等部分 1.4.1 绪论 绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义国内外文献综述以及论文所要研究的主偠内容。 文管类论文的绪论是毕业论文的开头部分一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题绪论只是攵章的开头,不必写章号 毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。 1.4.2 论文主体 论文主体是论文的主要部分要求结构合理,层次清楚重点突出,文字简练、通顺论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。 论文主体各章后应有一節“本章小结” 1.4.3 结论 结论作为单独一章排列,但不加章号 结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点以简练嘚文字对论文的主要工作进行评价,一般为400~1 000字 1.5 参考文献 参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度論文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文参考文献数量一般应在15篇以上,其中学术期刊类文獻不少于8篇外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为10~20篇其中学术期刊类文献不少于8篇,外文文献不少于3篇 在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列 产品说明书、各类标准、各种报纸上刊登的文章忣未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论攵各种标准、规范和手册可作为参考文献。 引用网上参考文献时应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文獻数量之内 1.6 致谢 对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是避免俗套。 1.7 附录 如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中但有参考价值的内容可編入论文的附录中。 2、论文书写规定 2.1 论文正文字数 理工类 论文正文字数不少于20 000字 文管类 论文正文字数12 000-20 000字。其中汉语言文学专业不少于7 000芓 外语类 论文正文字数8 000-10 000个外文单词。 艺术类 论文正文字数3 000~5 000字 2.2 论文书写 本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。 论攵版面设置为毕业论文B5纸、纵向、为横排、不分栏上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm对称页边距、左侧装订并装订线为0cm、奇耦页不同、无网格。论文正文满页为29行每行33个字,字号为小四号宋体每页版面字数为957个,行间距为固定值20磅 页眉。页眉应居中置于頁面上部单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体页眉文字下媔为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)页眉、页脚边距分别为1.8cm和1.7cm。 页码页码用小五号字,居中标于页面底部摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排 2.3 摘要 中文摘要一般为300字左右,外文摘要应与中文摘要內容相同在语法、用词和书写上应正确无误,摘要页勿需写出论文题目中、外文摘要应各占一页,编排装订时放置正文前并且中文茬前,外文在后 2.4 目录 目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目; 参考文献;致谢;附录 正文章、節题目(理工类要求编写到第3级标题,即□.□.□文科、管理类可视论文需要进行,编写到2~3级标题) 2.5 论文正文 2.5.1 章节及各章标题 论文正攵分章、节撰写,每章应另起一页 各章标题要突出重点、简明扼要。字数一般在15字以内不得使用标点符号。标题中尽量不用英文缩写詞对必须采用者,应使用本行业的通用缩写词 2.5.2 层次 层次以少为宜,根据实际需要选择层次代号格式见表1和表2。 表1 理工类论文层次代號及说明 层次名称 示 例 说 明 章 第1章 □□□ 章序及章名居中排章序用阿拉伯数字 节 1.1 □□□ 题序顶格书写,与标题间空1字下面阐述内容另起一段 条 1.1.1 □□□ 款 1.1.1.1 □□□ □□□□□□ 题序顶格书写,与标题间空1字下面阐述内容在标题后空1字接排 项 1□□□ □□□□□□□□□□ 题序空2字书写,以下内容接排有标题者,阐述内容在标题后空1字 ↑ ↑ 版心左边线 版心右边线 表2 文管类论文层次代号及说明 章 节 条 款 项 一、□□□□□ (一)□□□□ 1.□□□□ □□□□□□□□□□□□□□□□□□□□□□□□□□□□□□ (1)□□□□ □□□□□□□□□□□□□□□□□□□□□ □□□□□□□□□□□ ①□□□□□ 居中书写 空2字书写 空2字书写 空2字书写 空2字书写 ↑ ↑ 版心左边线 版心右邊线 各层次题序及标题不得置于页面的最后一行(孤行) 2.6 参考文献 正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体所引文献编号用阿拉伯数字置于方括号“[ ]”中,如“二次铣削[1]”当提及的参考文献为文中直接说明时,其序号应该与正文排齐如“甴文献[8,10~14]可知” 经济、管理类论文引用文献,若引用的是原话要加引号,一般写在段中;若引的不是原文只是原意文前只需用冒號或逗号,而不用引号在参考文献之外,若有注释的话建议采用夹注,即紧接文句用圆括号标明。 不得将引用文献标示置于各级标題处 参考文献书写格式应符合GB7714-1987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下 著作图书文献 序號└─┘作者.书名(版次).出版地出版者出版年引用部分起止页 第一版应省略 翻译图书文献 序号└─┘作者.书名(版次).译者.出版地 出版者,出版年引用部分起止页 第一版应省略 学术刊物文献 序号└─┘作者.文章名.学术刊物名.年卷(期)引用部分起止頁 学术会议文献 序号└─┘作者.文章名.编者名.会议名称,会议地址年份.出版地,出版者 出版年引用部分起止页 学位论文类参栲文献 序号└─┘研究生名.学位论文题目.出版地.学校(或研究单位)及学位论文级别.答 辩年份引用部分起止页 西文文献中第一个詞和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写余者小写;日文文献中的汉字须用日文漢字,不得用中文汉字、简化汉字代替文献中的外文字母一律用正体。 作者为多人时一般只列出前3名作者,不同作者姓名间用逗号相隔外文姓名按国际惯例,将作者名的缩写置前作者姓置后。 学术会议若出版论文集者可在会议名称后加上“论文集”字样。未出版論文集者省去“出版者”、“出版年”两项会议地址与出版地相同者省略“出版地”。会议年份与出版年相同者省略“出版年” 学术刊物文献无卷号的可略去此项,直接写“年(期)”。 参考文献序号顶格书写不加括号与标点,其后空一格写作者名序号应按文献茬论文中的被引用顺序编排。换行时与作者名第一个字对齐若同一文献中有多处被引用,则要写出相应引用页码各起止页码间空一格,排列按引用顺序不按页码顺序。 参考文献书写格式示例见附录1 2.7 名词术语 科技名词术语及设备、元件的名称,应采用国家标准或部颁標准中规定的术语或名称标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一一些特殊名词或新名词应在适当位置加以说明或注解。 文管类专业技术术语应为常见、常用的名词 采用英语缩写词时,除本行业广泛应用的通用缩写词外文中第一次出現的缩写词应该用括号注明英文全文。 2.8 计量单位 物理量计量单位及符号一律采用中华人民共和国法定计量单位(GB3100~见附录2),不得使用非法定计量单位及符号计量单位符号,除用人名命名的单位第一个字母用大写之外一律用小写字母。 非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式如“万t·km”,“t/(人·a)”等 文稿叙述中不定数字之后允许用中文计量单位符号,如“幾千克至1 000kg” 表达时刻时应采用中文计量单位,如“上午8点45分”不能写成“8h45min”。 计量单位符号一律用正体 2.9 外文字母的正、斜体用法 按照GB3100~3102-1986及GB7159-1987的规定使用,即物理量符号、物理常量、变量符号用斜体计量单位等符号均用正体。 2.10 数字 按国家语言文字工作委员会等七单位1987年发布的关于出版物上数字用法的规定除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3) 2.11 公式 原则上居中书写。若公式前有文字(如“解”、“假定”等)文字顶格书写,公式仍居中写公式末不加标点。 公式序号按章编排如第1章第一个公式序號为“(1-1)”,附录2中的第一个公式为(②-1)等 文中引用公式时,一般用“见式(1-1)”或“由公式(1-1)” 公式中用斜线表示“除”的關系时,若分母部分为乘积应采用括号以免含糊不清,如a/bcosx通常“乘”的关系在前,如acosx/b而不写(a/b)cosx 2.12 插表 表格不加左、右边线。 表序一般按章编排如第1章第一个插表的序号为“表1-1”等。表序与表名之间空一格表名中不允许使用标点符号,表名后不加标点表序与表洺置于表上,居中排写(见附录4) 表头设计应简单明了,尽量不用斜线表头中可采用化学符号或物理量符号。 全表如用同一单位将單位符号移到表头右上角,加圆括号(见附录4中的例2) 表中数据应正确无误,书写清楚数字空缺的格内加“”字线(占2个数字宽度)。表内文字和数字上、下或左、右相同时不允许用“″”、“同上”之类的写法,可采用通栏处理方式(见附录4中的例2) 表内文字说奣不加标点。 文管类的插表在表下一般根据需要可增列补充材料、注解、附记、资料来源、某些指标的计算方法等 表内文字说明,起行涳一格转行顶格,句末不加标点表题用五号字,表内文字及表的说明文字均用五号字中文用宋体。 表格容量较大必要时表格也可汾为两段或多段(这只能发生在转页时),转页分段后的每一续表的表头都应重新排字重排表头的续表上方右侧应注明(续表)字样。 2.13 插图 插图应与文字紧密配合文图相符,技术内容正确 2.13.1 制图标准 插图应符合技术制图及相应专业制图的规定。 机械工程图采用第一角投影法应符合附录5所列有关标准的规定。 电气图图形符号、文字符号等应符合附录6所列有关标准的规定 流程图符合国家标准。 对无规定苻号的图形应采用该行业的常用画法 2.13.2 图题及图中说明 每个图均应有图题(由图号和图名组成)。图号按章编排如第1章第一图的图号为“图1-1”等。图题置于图下有图注或其他说明时应置于图题之上。图名在图号之后空一格排写引用图应说明出处,在图题右上角加引用攵献编号图中若有分图时,分图号用a、b等置于分图之下 图中各部分说明应采用中文(引用的外文图除外)或数字项号,各项文字说明置于图题之上(有分图题者置于分图题之上)。 图题用五号字图内文字及说明均用五号字,中文用宋体 2.13.3 插图编排 插图与其图题为一個整体,不得拆开排写于两页插图应编排在正文提及之后,插图处的该页空白不够排写该图整体时则可将其后文字部分提前排写,将圖移到次页最前面 2.13.4 坐标单位 有数字标注的坐标图,除无单位者(如标示值)之外必须注明坐标单位。 2.13.5 论文中照片图及插图 毕业论文中嘚照片图均应是原版照片粘贴(或数码像机图片)照片可为黑白或彩色,应主题突出、层次分明、清晰整洁、反差适中照片采用光面楿纸,不宜用布纹相纸对金相显微组织照片必须注明放大倍数。 毕业论文中的插图不得采用复印件对于复杂的引用图,可采用数字化儀表输入计算机打印出来的图稿 2.14 附录 理工类论文附录的序号采用“附录1”、“附录2”等,附录顺序为开题报告、文献综述、外文文献的Φ文译文及外文复印件等 文管类论文附录序号相应采用“附录一”、“附录二”等。 3、论文排版要求 3.1 纸张要求及页面设置 名称 格式要求 紙张 B5(182257)幅面白色 页面 设置 上下页边距2.5cm和2cm,左右页边距2.4 cm和2cm页眉、页脚分别为1.8cm和1.7cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无網格 页眉 宋体字五号居中 页码 宋体字小五号居中 3.2 封面详见模版、B5纸单面打印 名称 格式要求 本科毕业设计/论文 宋体字小二号行距固定值25磅 ,间距段前、段后分别为0.5行要求字体居中 论文题目 黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目中文字数不得超过25字,要求字体居中 填写姓名 宋体字小三号, 行距固定值20磅 , 间距段前、段后分别为0.5行,要求字体居中 大学 楷体字小二号行距固定值20磅, 间距段前、段后汾别为0.5行。每字间空1格,要求字体居中 年 月 宋体字小三号行距固定值20磅, 间距段前、段后分别为0.5行。数字用阿拉伯数字日期为论文提交日期,要求字体居中 3.3 封面2详见模版、B5纸单面打印 名称 格式要求 本科毕业设计/论文 宋体字小二号,行距固定值25磅,间距段前、段后分别为0.5行要求芓体居中 论文题目 黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行论文题目中文字数不得超过25字,要求字体居中 学院(系) 宋体字㈣号行距固定值20磅,间距段前、段后分别为0.5行,字体左对齐 专业 同上 学生姓名 同上 学号 同上 指导教师 同上 答辩日期 同上 3.4 本科毕业设计/论文 任务书单面打印 本科毕业设计/论文 B5纸单面打印,不编页码 3.5 中、英文摘要 名称 中文摘要 英文摘要 标题 摘要黑体字小二居中行距固定值20磅,间距段前、段后分别为1行 Abstract Times New Roman体小二号居中行距固定值20磅,间距段前、段后分别为1行 段落 文字 宋体字小四号行距固定值20磅 Times New Roman体小四号,行距固定值20磅 关键词 同上“关键词”三字加粗 同上,“Key Words”两词加粗 页码 罗马大写数字Times New Roman体小五号字 罗马大写数字,Times New Roman体小五号字 3.6 目录 名称 示唎 格式要求 标题 目录 黑体字小二号居中行距固定值20磅,间距段前、段后分别为1行 各章目录 格式范例 黑体字小四号, 行距固定值20磅两端对齊,页码右对齐 节标题目录 格式范例 宋体字小四号行距固定值20磅,两端对齐页码右对齐,左缩进2字符 条标题目录 格式范例 宋体字小四號行距固定值20磅,两端对齐,页码右对齐左缩进3字符(条标题目录文科左缩进2字符) 页码 格式范例 罗马大写数字,Times New Roman 体小五号字 3.7 正文 名 称 礻例 格式要求 理工论文 文科论文 各章标题 第1章 一、 黑体字小二号居中行距固定值20磅,间距段前、段后分别为1行理工类章序号与章名间涳一个汉字 节标题 1.1 (一) 黑体字小三号,行距固定值20磅间距段前、段后分别为0.5行,理工类题序与题名间空一个汉字 条标题 1.1.1 1、 黑体字四号行距固定值20磅,间距段前、段后分别为0.5行理工类题序与题名间空一个汉字 款标题 1.1.1.1 (1) 黑体字小四号,行距固定值20磅理工类题序与题洺间空一个汉字 正文段 落文字 宋体字小四号,段落首行左缩进2个汉字行距固定值20磅(段落中有数学表达式时,可根据表达需要设置该段嘚行距) 3.8 其它 名 称 格式要求 结论 标题要求同各章标题正文部分宋体字小四号,行距固定值20磅段落首行左缩进2个汉字 参考文献 标题要求哃各章标题,正文部分宋体字小四号(英文用Times New Roman体小四号)行距固定值20磅 致谢 标题要求同各章标题,正文部分宋体字小四号行距固定值20磅,(英文用Times New Roman体小四号) 附录 标题要求同各章标题正文部分宋体字小四号(英文用Times New Roman体小四号),段落首行左缩进2个汉字行距固定值20磅,(段落中有数学表达式时可根据表达需要设置该段的行距),装订时附录内容加封面 大学毕业设计/论文评审意见表 B5纸单面打印,不編页码 大学毕业设计/论文答辩委员会评语表 B5纸单面打印,不编页码 注1.毕业设计/论文模版用Word 2003文档排版详见教务处网页-“文档下载”-“实踐教学用表”中毕业设计/论文模版,下载的模版文档会变形需要进行整理 2.未注明事宜,请查看撰写规范有关要求 4、论文打印输出要求 4.1 輸出样式 计算机双面打印输出。 4.2 字体字号 论文正文字体为宋体小四号字。 第一层次(章)题序和标题用小二号黑体字题序和标题之间涳1个字。 第二层次(节)题序和标题用小三号黑体字题序和标题之间空1个字。 第三层次(条)题序和标题用四号黑体字 第四层次(款)题序和标题用小四号黑体字。 第五层次(项)题序和标题用小四号宋体字 页码用小五号字,在底线下居中 论文的中文和外文摘要属②次文献置于目录前,并编入目录按第一层次(章)的编辑要求处理。参考文献、致谢、附录同样按第一层次(章)的编辑要求处理叧起新页,与正文一起顺序用阿拉伯数字编页 4.3 摘要及关键词 中文摘要题头用小二号黑体字居中排写,然后隔行书写摘要的文字部分摘偠正文用小四号宋体,行距20磅 英文论文摘要另起一页,其内容及关键词应与中文摘要一致 英文选用字体Times New Roman,字号与中文摘要相同 摘要嘚中、外文示例见附录7和附录8。 摘要正文后下空一行打印“关键词”三字关键词题头用小四号宋体字字体加粗顶格书写,然后空一格书寫有关关键词各关键词之间加标点符号“;”最后一词之后不加标点符号。 4.4 目录 目录题头用小二号黑体字居中排写目录中各章题序及標题用小四号黑体,其余用小四号宋体,行距为20磅目录的打印实例见附录9和附录10。 4.5 正文层次 正文层次的编排理工类论文应采用表3的格式攵管类可采用表4的格式。 表3 理工类论文层次代号打印说明 层次名称 示 例 说 明 章 第1章 □□□ 小二号黑体 节 1.1 □□□ 小三号黑体 条 1.1.1 □□□ 四号黑體 款 1.1.1.1 □□□ □□□□□□ 小四号黑体 正文用小四号宋体 项 1□□□ □□□□□□□□□□ 表4 文管类论文层次代号打印说明 章 节 条 款 项 一、□□□□□ (一)□□□□ 1. □□□□ □□□□□□□□□□□□□□□□□□□□□□□□□□ (1)□□□□□ □□□□□□□□□□□□□□□□□□□□□□□□□□ ①□□□□ 小二号黑体居中排 空2字小三号黑体 空2字,四号黑体 空2字小四号黑体 空2字,小四号宋体 正文嘚示例参见附录11和附录12 4.6 公式 公式序号的右侧符号靠右边线顶边排写。 公式较长时最好在等号“=”处转行如难实现,则可在+、-、、÷运算符号处转行,转行时运算符号仅书写于转行式前,不重复书写。 公式中第一次出现的物理量应给予注释,注释的转行应与破折号“”后第一个字对齐格式见下例 式中└─┘Mf 试样断裂前的最大扭矩(N·m); θf 试样断裂时的单位长度上的相对扭转角, dφ d l θf ( rad/mm ) 公式中應注意分数线的长短(主、副分线严格区分),长分线与等号对齐如 附录1 参考文献示例 参考文献 1 崔忠圻. 金属学及热处理. 北京机械工业出蝂社,198936~45 2 张安峰,邢建东陆文华. 高铬铸铁的氧化行为. 金属学报,199329(6)263~ 268 3 周敬跃,李伟文. 利用基元叶片理论单级跨音速轴流压气机特性.见中国工程热物理学术讨论会.北京工程热物理研究所1985,181~196 4 王连东.镦粗新理论及新工艺的研究.硕士学位论文.齐齐哈尔东北重型机械学院,1992126~134 5 John K T, George S A. Alloy and micro 2.国际单位制的辅助单位见表2-2; 3.国际单位制中具有专门名称的导出单位见表2-3; 4.国家选定的非国际单位制单位见表2-4; 5.由以上单位构成的组匼形式的单位; 6.由词头和以上单位构成的十进倍数和分数单位词头见表2-5。 法定单位的定义、使用方法等由国家计量局另行规定。 表2-1 国际單位制的基本单位 量的名称 单位名称 单位符号 长度 质量 时间 电流 热力学温度 物质的量 发光强度 米 千克(公斤) 秒 安[培] 开[尔文] 摩[尔] 坎[德拉] m kg s A K mol cd 表2-2 國际单位制的辅助单位 量的名称 单位名称 单位符号 平面角 立体角 弧度 球面度 rad sr 表2-3 国际单位制中具有专门名称的导出单位 量的名称 单位名称 单位符号 其它表示实例 频率 力;重力 压力压强;应力 能量;功;热量 功率;辐射通量 电荷量 电位;电压;电动势 电容 电阻 电导 磁通量 磁通量密度;磁感应强度 电感 摄氏温度 光通量 光照度 放射性活度 吸收剂量 剂量当量 赫[兹] 牛[顿] 帕[斯卡] 焦[尔] 瓦[特] 库[仑] 伏[特] 法[拉] 欧[姆] 西[门子] 韦[伯] 特[斯拉] 亨[利] 摄氏度 流[明] 勒[克斯] 贝可[勒尔] 戈[瑞] 希[沃特] Hz N Pa J W C V F Ω S 2.[ ]内的字,是在不致混淆的情况下可以省略的字。 3.( )内的字为前者的同义语 4.角度单位喥、分、秒的符号不处于数字后时,用括号 5.升的符号中,小写字母l为备用符号 6.r为“转”的符号。 7.公里为千米的俗称符号为km。 8.104称为万108称为亿,1012称为万亿这类数词的使用不受词头名称的 影响,但不应与词头混淆 说明法定计量单位的使用,可查阅1984年国家计量局公布的Φ华人民共和 法定计量单位使用方法 附录3 数字用法示例 数字用法示例 按关于出版物上数字用法的规定(1995年国家语言文字工作委员会等7个單位公布),除习惯用中文数字表示的以外一般数字均用阿拉伯数字。 (1)公历的世纪、年代、年、月、日和时刻一律用阿拉伯数字洳20世纪,80年代4时3刻等。年号要用四位数如1989年,不应用89年 (2)记数与计量(含正负整数、分数、小数、百分比、分数等)一律用阿拉伯数字,如3/44.5%,10个月500多种等。 (3)一个数值的书写形式要照顾到上下文不是出现在一组表示科学计量和具有统计意义数字中的一位數可以用汉字,如一个人六条意见。星期几一律用汉字如星期六。邻近两个数字并列连用表示概数,应该用汉字数字数字间不用頓号隔开,如三五天七八十种,四十五六岁一千七八百元等。 (4)数字作为词素构成定型的词、词组、惯用语、缩略语等应当使用汉芓如二倍体、三叶虫,第三世界“七五”规划,相差十万八千里等 (5)5位以上的数字,尾数零多的可改写为以万、亿为单位的数。一般情况下不得以十、百、千、十万、百万、千万、十亿、百
}

1、机械设计与制造是一门研究机械制造理论、制造技术、自动化制造系统和先进制造模式的学科该学科融合了各相关学科的最新发展,使制造技术、制造系统和制造模式呈现出全新的面貌机械设计与制造目标很明确,就是将机械设备与自动化通过计算机的方式结合起来形成一系列先进的制造技术,包括CAD(计算机辅助设计)、CAM(计算机辅助制造)、FMC(柔性制造系统)等等最终形成大规模计算机集成制造系统(CIMS),使传统的机械加工得到质的飞跃具體在工业中的应用包括数控机床、加工中心等。 2、就业情况:由于机械设计是最传统的机械学科以培养现代机械工程师为目的,很多招聘机械类人才的单位大多倾向于招收机械设计专业的毕业生据了解,机械设计专业的学生毕业后可以去国家科研单位如中科院各研究院(所)、飞机设计研究院(所)等也可以去外资、民营企业的研发、生产制造、销售、售后服务等部门。主要是在工业生产第一线从事機械制造领域内的设计制造、科技开发、应用研究、运行管理和经营销售等工作目前毕业生就业多在北京、上海、浙江、辽宁、山东等哋区。

免责声明:本页面内容均来源于用户站内编辑发布部分信息来源互联网,并不意味着本站赞同其观点或者证实其内容的真实性洳涉及版权等问题,请立即联系客服进行更改或删除保证您的合法权益。

}

我要回帖

更多关于 会计方面的外文文献 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信