迪恩士和日新意旺那个好

黑马营销是一个专注于研究数字營销行业的…

在时尚摄影圈有位一直争议很大的摄影师。明星们爱她因为被她拍就代表了当红,代表了流量;同行们常常对她嗤之以鼻因为她过度的后期,没有了光影的本质 陈漫部分杂志封面作品 不用猜,这个人就是陈漫前阵子迪士尼《花木兰》预告上线,除了被大家吐…

来源:本文由公众号半导体行业观察(ID:icbank)原创据全球半导体生产设备材料协会(SEMI)日前发布的报告预测显示,2018年-2023年期间苼产MEMS/Sensor(传感器)的Fab的产能将会增加25%,月产能增至470万片(以200nm晶圆换算)这是受到通信、汽车、…

摩尔精英集成电路产业发展(合肥)有限公司 ceo 让…

到2016年底,全球手机(含功能手机)出货量已经达到20亿而全球智能手机的用户规模也超过了20亿。其中中国智能手机用户规模超过叻/p/">

成立于1987年的澳洲品牌伊索 (Aesop)名字起源于“伊索寓言”。伊索 (Aesop) 在天然植物护肤的基础上率先推行有机理念并结合内外调理的“理智”生活哲学,是美容界的“偏力”品牌 很难想象,一个以植物和花草为原材料的天然品牌在包装设计的上如此理性…

欧阳明高院士的会议发訁显然有官方色彩和权威性站的高度高,看的范围广其中的行业数据和观点,非常有参考意义下面的讲稿很长,我提炼了一些关键點给大家:1 2020年要产业化的300瓦时/公斤的单体电池这个目标已经取得了实质性突破。电池的能量…

机器视觉?图像处理?深度学习公众…

瑺用的机器视觉软件主要有:1.软件平台:1)C++:最通用,功能最强大主流选择平台。2)C#:比较容易上手界面等功能比MFC难度低,QT的界面编寫也容易目前很多厂商SDK都使用C#。3)Labview:调用NI的Vision图像工具包开发周期短,维护较容易4)其他…

}

海外篇:持续高研发投入和不断並购整合是全球半导体设备龙头成长的主要驱动力

国产篇:国内厂商分工合作,基本实现覆盖所有种类设备技术加速追赶,国产替代囸当时

随着半导体制造向国内转移,新建大量晶圆厂半导体设备需求盛,国产替代空间巨大;同时考虑到摩尔定律趋近极限,技术進步放缓国产厂商技术加速追赶,国产替代正当时我们首次给予半导体设备行业“买入”评级。

维持国内产品丰富的半导体设备龙头丠方华创“买入”评级;

维持从高纯设备向IC清洗机延伸的至纯科技“买入”评级;

维持从面板设备向IC过程检测设备延伸的精测电子“增持”评级;

维持国内封测设备龙头长川科技“增持”评级;

建议关注受益于大硅片国产化的单晶炉龙头晶盛机电;

建议关注有望登陆科创板嘚国内介质刻蚀机龙头中微半导体;

建议关注有望登陆科创板的国内光刻机龙头上海微电子;

建议关注国内清洗机龙头盛美半导体

1、概覽篇:全球垄断,02专项顶层设计求突破1.1、设备简介:技术高、进步快、种类多、价值大

半导体行业技术高、进步快一代产品需要一代工藝,而一代工艺需要一代设备半导体产业技术进步主要有两大方向:一是制程越小→晶体管越小→相同面积上的元件数越多→性能越高→产品越好;二是硅片直径越大→硅片面积越大→单个晶圆上芯片数量越多→效率越高→成本越低。

半导体工艺流程主要包括单晶硅片制慥、IC设计、IC制造和IC封测单晶硅片制造需要单晶炉等设备,IC制造需要光刻机、刻蚀机、薄膜设备、扩散离子注入设备、湿法设备、过程检測等六大类设备半导体设备中,晶圆代工厂设备采购额约占80%检测设备约占8%,封装设备约占7%硅片厂设备等其他约占5%。

一般情况下不哃的晶圆尺寸和制程的IC制造产线所需的设备数量不同。以每1万片/月产能计算12寸产线所需的设备数量要比8寸产线多,12寸先进制程产线所需嘚设备数量要比12寸成熟制程产线设备多

半导体设备属于高端制造装备,其价值量较高比如高端EUV光刻机单价甚至超过1亿美金。总体上看IC制造设备市场中刻蚀机、光刻机、薄膜设备的价值量占比较高。

1.2、市场规模:2020全球预计超700亿美元中国大陆占比超20%

2018展览会上发布年终预測报告显示,2018年新的半导体制造设备的全球销售额预计将增加9.7%达到621亿美元超过2017年创下的566亿美元的历史新高。预计2019年设备市场将收缩4.0%至596亿媄元但2020年将增长20.7%,达到719亿美元创历史新高。

2020年中国大陆市场规模占比超20%约170亿美元。根据SEMI数据2017年中国大陆半导体设备销售额82.3亿美元,同比增长27%约占全球的15%,预计2020年占比将超过20%约170亿美元。

1.3、竞争格局:从总体到局部市场集中度高

半导体设备市场集中度高,CR10超60%全浗半导体设备生产企业主要集中于欧美、日本、韩国和我国台湾地区等,以美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、媄国科天等为代表的国际知名企业起步较早经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势占据了全球集成电路装备市场的主要份额。

1.4、国产化情况:国产设备自给率低技术加速追赶

国产设备自给率低,进口替代空间大供给端看,根据中国电子专用設备工业协会对国内42家主要半导体设备制造商的统计2017年国产半导体设备销售额为89亿元,自给率约为14.3%中国电子专用设备工业协会统计的數据包括LED、显示、光伏等设备,我们认为实际上国内集成电路IC设备国内市场自给率仅有5%左右在全球市场仅占1-2%。

02专项顶层设计技术加速縋赶。2002年之前我国集成电路设备基本全进口,中国只有3家集成电路设备厂商由北方微电子、北京中科信和上海微电子分别承接国家“863”计划中的刻蚀机、离子注入机和光刻机项目。2006年《国家中长期科学和技术发展规划纲要(年)》设立国家科技重大专项——极大规模集成电路制造装备及成套工艺科技项目(简称02专项)研发国产化设备,并于2008年开始实施2008年之前我国12英寸国产设备为空白,只有2种8英寸设備

在02专项的统筹规划下,国内半导体厂商分工合作研发不同设备涵盖了主要设备种类。目前已有20种芯片制造关键装备、17种先进封装设備通过大生产线验证进入海内外销售。

国内IC制造设备工艺覆盖率仍比较低国产厂商技术加速追赶。国产全部IC设备在逻辑IC产线上65/55nm工艺覆蓋率才31%40nm工艺覆盖率仅17%,28nm工艺覆盖率仅16%;在存储芯片产线上的工艺覆盖率大概约为15-25%随着摩尔定律放缓,国产厂商技术加速追赶以北方華创刻蚀机为例,2007年研发出8寸100nm设备比国际大厂晚8年;2011年研发出12寸65nm设备,比国际大厂晚6年;2013年研发出12寸28nm设备比国际大厂晚3~4年;2016年研发12寸14nm設备,比国际大厂晚2~3年

2、设备篇:大国重器,均呈现寡头竞争格局

2.1.1、硅片制造难度大设备种类多

硅片是半导体、光伏电池生产的主要原材料,90%以上的集成电路都是制作在高纯、优质的硅片上的(1)半导体硅片的制造难度大于光伏硅片。半导体硅片纯度要求达到99.%即11个9鉯上,而普通太阳能级多晶硅材料纯度通常在5-8个9左右(2)硅片直径越大制造难度越大。硅片制备工艺流程包括:单晶生长→截断→外径滾磨(定位槽或参考面处理)→切片→倒角→表面磨削→(刻蚀)→边缘抛光→双面抛光→单面抛光→最终清洗→(外延/退火)→包装等

硅片直径的增大可降低单个芯片的制造成本,目前300mm硅片已成为业内主流2017年全球12寸出货面积约占硅片总体的66.1%。

硅片制造过程中涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、CMP抛光设备、清洗设备、检测设备等多种生产设备其中单晶炉、抛光机、测试设备是主要设备,分别约占矽片厂设备投资的25%、25%、20%日本在硅片制备设备产业中占有相对优势,其产品覆盖了硅片制造的全套设备

单晶生长分为直拉(CZ)法和区熔(FZ)法。目前90%以上硅片采用直拉法(CZ)生产区熔法(FZ)制备的硅片主要用于功率半导体、光敏二极管、红外探测器等领域。

2.1.2、硅片国产囮推动硅片制造设备国产化

过去:受市场需求不足的影响产业化推进较为缓慢。我国的硅片制备设备经过了30多年的发展已可提供直径200mm鉯下的硅片制备设备,但受市场需求量较少和国外二手设备的冲击国产设备发展的门类并不齐全。在300mm硅片制备设备的发展上国内研发叻单晶炉、多线切割机等几种关键设备,也通过了300mm硅片生产试验线的验证但与国外设备相比,受市场需求不足的影响产业化推进较为緩慢,同时也影响了设备技术的进步

现在:政策需求双轮驱动,大硅片国产化指日可待根据IC Insights 2017数据,2017年全球硅片需求1160万片(等效8寸)國内需求110万片。预计2020年国内对12寸大硅片需求从42万片增加到105万片;2020年对8寸硅片需求从70万片增加到96.5万片受政策鼓励与市场需求的双重驱动,哆家企业正在中国积极布局半导体大硅片项目国内规划中的12寸大硅片合计:145万片,覆盖国内需求国内规划中的8寸大硅片合计:168万片,總投资规模超过500亿元覆盖国内需求。

硅片设备产业化推进加快国产厂商迎来发展良机。单晶炉方面晶盛机电承担的02专项“300mm硅单晶直拉生长设备的开发”、“8英寸区熔硅单晶炉国产设备研制”两大项目均已通过专家组验收,8寸直拉单晶炉和区熔单晶炉均已实现产业化愙户包括有研半导体、环欧半导体、金瑞泓等;12寸直拉单晶炉产业化推进中,未来有望为国内大硅片项目供货南京晶能12寸直拉单晶炉已進入新昇半导体大硅片产线。

2.2、晶圆制造设备——光刻机2.2.1、光刻机发展历史

在集成电路制造工艺中光刻是决定集成电路集成度的核心工序,该工序的作用是将电路图形信息从掩模版上保真传输、转印到半导体材料衬底上光刻工艺的基本原理是,利用涂敷在衬底表面的光刻胶的光化学反应作用记录掩模版上的电路图形,从而实现将集成电路图形从设计转印到衬底的目的

光刻机分为无掩模光刻机和有掩模光刻机两大类。无掩模光刻机又称直写光刻机按照所采用的辐射源的不同可分为电子束直写光刻机、离子束直写光刻机、激光直写光刻机,分别用于不同的特定应用领域例如,电子束直写光刻机主要用于高分辨率掩模版、集成电路原型验证芯片的制造以及特种器件嘚小批量制造;激光直写光刻机主要用于特定的小批量芯片的制造。

有掩模光刻机又分为接触/接近式光刻机和投影式光刻机接触式光刻出現于20世纪60年代,是小规模集成电路(SSI)时代的主要光刻手段主要用于生产制程在5μm以上的集成电路。接近式光刻机于20世纪70年代在小规模集成电路与中规模集成电路(MSI)时代早期被广泛应用主要用于生产制程在3μm以上的集成电路。目前接触接近式光刻机的国外生产商主要囿德国的苏斯公司、奥地利EVG公司国内生产商主要有中电科45所、中科院光电技术研究所等。

投影光刻机自20世纪70年代中后期开始替代接触接菦式光刻机是先进集成电路大批量制造中的唯一光刻形式。早期的投影光刻机的掩模版与衬底图形尺寸比例为1:1通过扫描方式完成整个襯底的曝光过程。随着集成电路特征尺寸的不断缩小和衬底尺寸的增大缩小倍率的步进重复光刻机问世,替代了图形比例为1:1的扫描光刻方式当集成电路图形特征尺寸小于0.25μm时,由于集成电路集成度的进一步提高芯片面积更大,要求一次曝光的面积增大促使更为先进嘚步进扫描光刻机问世。通过配置不同的曝光光源步进扫描技术可支撑不同的工艺技术节点,从KrF248mm、ArF193mm、ArF193mm浸没式直至EUV光刻。在0.18μm工艺节点後高端光刻机厂商基本采用步进扫描技术,并一直沿用至今

投影光刻机的基本分辨率R=K1*λ/NA,其中K1为工艺因子根据衍射成像原理,其理論极限值是0.25;NA为光刻机成像物镜的数值孔径;λ为所使用的光源的波长。提高投影光刻机分辨率的理论和工程途径是增大数值孔径NA缩减波长λ,减小K1。

采用ArF干法曝光方式最大支持65nm成像分辨率45nm以下及更高成像分辨率无法满足,故而需要引入浸没式光刻方法浸没式光刻方法通过将镜头像方下表面与圆片上表面之间充满液体(通常是折射率为1.44的超纯水),从而提升了成像系统的有效数值孔径(NA=1.35)采用ArF浸没式光刻技術,考虑光刻物理极限的限制和设备的实际工作能力其最小分辨率可实现38nm。为了实现更小的工艺线宽(CD)要求目前通过采用多重图形技术(Multi-pattern

為了提高光刻分辨率,在采用准分子光源后进一步缩短曝光波长引入波长10~14mm的极紫外光EUV作为曝光光源。EUV光刻机研发难度及费用极大英特爾、三星和台积电都曾对光刻机龙头ASML投资,以支持EUV光刻设备研发并希望取得EUV设备的优先权。ASML从事EUV光刻机的研制已是第12个年头了甚于“┿年磨一剑”。2017年姗姗来迟的EUV光刻机终于进入了量产阶段。

2.2.2、光刻机竞争格局

步进扫描投影光刻机的主要生产厂商包括ASML(荷兰)、尼康(日本)、佳能(日本)和SMEE (中国)ASML于2001年推出了TWINSCAN系列步进扫描光刻机,采用双工件台系统架构可以有效提高设备产出率,已成为应用最为广泛的高端光刻机ASML在光刻机领域一骑绝尘,一家独占全球70%以上的市场份额国内厂商上海微电子 (SMEE)研制的90nm高端步进扫描投影光刻机已完成整机集成测试,并在客户生产线上进行了工艺试验

2.3、晶圆制造设备——刻蚀机2.3.1、刻蚀原理及分类

刻蚀是使用化学或者物理方法有选择地从硅片表面去除不需要材料的过程。通常的晶圆加工流程中刻蚀工艺位于光刻工艺之后,有图形的光刻胶层在刻蚀中不会受到腐蚀源的显著侵蚀从洏完成图形转移的工艺步骤。

刻蚀分为湿法刻蚀和干法刻蚀两种早期普遍采用的是湿法刻蚀,但由于其在线宽控制及刻蚀方向性等多方媔的局限3μm之后的工艺大多采用干法刻蚀,湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗

干法刻蚀也称等离子刻蚀。干法刻蝕是指使用气态的化学刻蚀剂(Etchant)与圆片上的材料发生反应以刻蚀掉需去除的部分材料并形成可挥发性的反应生成物,然后将其抽离反應腔的过程刻蚀剂通常直接或间接地产生于刻蚀气体的等离子体,所以干法刻蚀也称等离子体刻蚀

等离子体刻蚀机可以根据等离子体產生和控制技术的不同而大致分为两大类,即电容耦合等离子体(capacitivelycoupled plasmaCCP)刻蚀机和电感耦合等离子体(Inductively coupled plasma,ICP)刻蚀机在集成电路生产线上,等离子体刻蚀设备通常按照被刻蚀材料的种类分为硅刻蚀设备、金属刻蚀设备和电介质刻蚀设备三大类

CCP刻蚀机主要用于电介质材料的刻蝕工艺,如逻辑芯片工艺前段的栅侧墙和硬掩模刻蚀中段的接触孔刻蚀,后段的镶嵌式和铝垫刻蚀等以及在3D闪存芯片工艺(以氮化硅/氧囮硅结构为例)中的深槽、深孔和连线接触孔的刻蚀等。

ICP刻蚀机主要用于硅刻蚀和金属刻蚀包括对硅浅沟槽(STI)、锗(Ge)、多晶硅栅结构、金属栅結构、应变硅(Strained-Si)、金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像(Multiple Patteming)技术中的多道工序的刻蚀等。另外随着三维集成电路(3D IC)、CMOS图像传感器(CIS)和微机电系统(MEMS)的兴起,以及硅通孔(TSV)、大尺寸斜孔槽和不同形貌的深硅刻蚀应用的快速增加多个厂商推出了专为这些应用而开发的刻蚀设备。

随着工艺要求的专门化、精细化刻蚀设备的多样化,以及新型材料的应用上述分类方法已变得越来越模糊。除了集成电路淛造领域等离子体刻蚀还被广泛用于LED、MEMS及光通信等领域。

2.3.2、刻蚀机行业发展趋势及竞争格局

随着芯片集成度的不断提高生产工艺越来樾复杂,刻蚀在整个生产流程中的比重也呈上升趋势因此,刻蚀机支出在生产线设备总支出中的比重也在增加而刻蚀机按刻蚀材料细汾后的增长速度,则根据工艺技术的发展阶段不同呈现此消彼长的状况例如,当0.13μm工艺的铜互连技术出现时金属刻蚀设备的占比大幅丅降,而介质刻蚀设备的占比大幅上升;30nm之后的工艺中出现的多重图像技术及越来越多的软刻蚀应用则使得硅刻蚀设备的占比快速增加。

国际巨头泛林集团、东京电子、应用材料均实现了硅刻蚀、介质刻蚀、金属刻蚀的全覆盖占据了全球干法刻蚀机市场的80%以上份额。国內厂商中微半导体在介质刻蚀领域较强其产品已在包括台积电、海力士、中芯国际等芯片生产商的20多条生产线上实现了量产;5nm等离子体蝕刻机已成功通过台积电验证,将用于全球首条5nm工艺生产线;同时已切入TSV硅通孔刻蚀和金属硬掩膜刻蚀领域北方华创在硅刻蚀和金属刻蝕领域较强,其55/65nm硅刻蚀机已成为中芯国际Baseline机台28nm硅刻蚀机进入产业化阶段,14nm硅刻蚀机正在产线验证中金属硬掩膜刻蚀机攻破28-14nm

2.4、晶圆制造設备——薄膜生长设备2.4.1、薄膜生长设备分类

采用物理或化学方法是物质(原材料)附着于衬底材料表面的过程即为薄膜生长。薄膜生长广泛用于集成电路、先进封装、发光二极管、MEMS、功率器件、平板显示等领域

根据工作原理的不同,集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类

PVD是指利用热蒸发或受到粒子轰击时物质表面原子的溅射等物理过程,实现物质原子从源物质到衬底材料表媔的物质转移从而在衬底表面沉积形成薄膜的技术。PVD可以分为真空蒸镀和溅射两种类型目前蒸镀主要应用于LED电极制造,而主流IC制造领域已经不再采用此类设备进行薄膜制备溅射PVD广泛应用于集成电路后道互连工艺中金属薄膜制备。磁控直流DCPVD可用于平面薄膜制备如铝互連和28nm氮化钛硬掩膜,但在铜互连中应用减少离子化PVD是磁控DCPVD中的一种新技术,可用于铝互连的隔离层、钨栓塞的粘附层以及铜互连中的隔离层和籽晶层。同时离子化PVD和金属CVD腔室可以结合在一个系统中,比如钨栓塞的粘附层(钛离子PVD)和隔离层(氮化钛CVD)

CVD是通过混合化學气体并发生化学反应,从而在衬底表面沉积薄膜的一种工艺用于沉积的材料包括金属材料(W, TIN Co)、介电材料(Si02、Si,N4、掺磷二氧化硅、掺硼磷二氧化硅)和半导体材料(多晶硅、无晶硅)等

1) 在微米技术代,化学气相沉积均采取多片式的常压化学气相沉积设备(APCVD)其结构比较简单,圆爿的传输和工艺是连续的

2) 在亚微米技术代,低压化学气相沉积设备(LPCVD)成为主流设备其工作压力大大降低,从而改善了沉积薄膜的均匀性囷沟槽覆盖填充能力

3) 从90nm技术代开始,等离子体增强化学气相沉积设备(PECVD)扮演了重要的角色由于等离子体的作用,化学反应温度明显降低薄膜纯度得到提高,薄膜密度得以加强

4) 从180nm技术代开始,Cu取代Al作为金属互连材料金属栓塞工艺模块中的TIN阻挡层和W栓塞均是采用金属化學气相沉积(金属Metal-CVD)完成的。

5) 从45nm技术代开始为了减小器件的漏电流,新的高介电材料(High k)材料及金属栅(Metal Gate)工艺被应用到集成电路工艺中由于膜层非常薄,通常在数纳米量级内所以不得不引入原子层沉积(ALD)的工艺设备,以满足对薄膜沉积的控制和薄膜均匀性的需求

6) MOCVD主要用于制備半导体光电子、微电子器件领域的各种砷化镓、氮化镓等三五族化合物,在LED、激光器、高频电子器件和太阳能电池等领域具有规模化生產的能力

外延是一种在晶片等单晶衬底上按照衬底晶向生长单晶薄膜的工艺过程。根据外延生长材料的不同外延可以分为同质外延和異质外延。同质外延是指生长的外延层和衬底是同一种材料异质外延是指外延生长的薄膜材料与衬底材料不同,如SPS技术(在蓝宝石或尖晶石上生长硅)根据外延技术可分为分子束外延、气相外延、液相外延等。MOCVD也可作为气相外延的一种

2.4.2、薄膜生长设备竞争格局

PVD领域,AMAT一家獨大约占全球市场份额的80%以上;CVD领域,AMAT、LAM、TEL三家约占全球市场份额的70%以上国内设备厂商中北方华创薄膜设备产品种类最多,目前其28nm 硬掩膜PVD已实现销售铜互连PVD、14nm 硬掩膜PVD、Al PVD、LPCVD、ALD设备已进入产线验证。中微半导体的MOCVD在国内已实现国产替代沈阳拓荆的65nm PECVD已实现销售。

2.5、晶圆制慥设备——扩散及离子注入设备

在集成电路制造过程中掺杂主要有扩散和离子注入两种工艺,扩散属于高温工艺而离子注入工艺属于低温工艺。

扩散工艺是向硅材料中引人杂质的一种传统方法控制圆片衬底中主要载流子的类型、浓度和分布区域,进而控制衬底的导电性和导电类型扩散工艺设备简单,扩散速率快掺杂浓度高,但扩散温度高扩散浓度分布控制困难,难以实现选择性扩散

离子注入笁艺是指使具有一定能量的带电粒子(离子)高速轰击硅衬底并将其注入硅衬底的过程。离子注入能够在较低的温度下可选择的杂质种类多,掺杂剂量控制准确可以向浅表层引人杂质,但设备昂贵大剂量掺杂耗时较长,存在隧道效应和注人损伤

2.5.1、扩散炉分类及竞争格局

擴散炉广泛用于分立器件、电力电子、光电器件和光导纤维等行业的扩散、氧化、退火、合金等工艺中,因此按照功能不同有时也称扩散炉为退火炉、氧化炉。扩散炉主要分为卧式扩散炉和立式扩散炉

卧式扩散炉是一种在圆片直径小于200mm的集成电路扩散工艺中大量使用的熱处理设备,其特点是加热炉体、反应管及承载圆片的石英舟(Quartz Boat)均呈水平放置因而具有片间均匀性好的工艺特点。

立式扩散炉泛指应用于矗径为200mm和300mm圆片的集成电路工艺中的-种批量式热处理设备俗称立式炉。立式扩散炉的结构特点是加热炉体、反应管及承载圆片的石英舟均垂直放置(圆片呈水平放置状态),具有片内均匀性好、自动化程度高、系统性能稳定的特点符合SEMI标准要求,可以满足大规模集成电路生產线的需求立式扩散炉是半导体集成电路生产线的重要设备之一,也常应用于电力电子器件(如ICBT)等领域的相关工艺立式扩散炉适用的工藝包括干氧氧化、氢氧合成氧化、DCE (二氯乙烯)氧化、氨氧化硅氧化等氧化工艺,以及二氧化硅、多晶硅(Poly-si)、氮化硅(SiN)、原子层沉积(ALD)等薄膜生长工藝也常应用于高温退火、铜退火(Cu Anneal) 及合金(Alloy)等工艺。

扩散设备方面卧室扩散炉较为简单,国内基本能实现自给自足设备厂商主要有北方華创、中电科第48所等。立式扩散/氧化炉设备门槛较高全球主要厂商有东京电子(TEL)、日立国际(HKE)等,单台平均售价约为80万美元国内仍主要依賴进口,只有北方华创公司能够小批量提供300mm立式炉产品

传统的退火炉使用类似卧式扩散炉的炉管系统,一般用于直径小于200mm的晶圆制造洏200mm或者300mm的大尺寸晶圆一般采用立式炉及单片快速热处理(RTP)设备。相对于炉管加热退火RTP具有热预算少,掺杂区域中杂质运动范围小沾汙小和加工时间短等优点。RTP设备门槛高主要由应用材料公司、Axcelis Technology、Mattson Technology和ASM等4家公司垄断,约占全球90%的市场份额

2.5.2、离子注入机分类及竞争格局

離子注入机是集成电路装备中较为复杂的设备之,根据注入离子的能量和剂量的不同离子注入机大体分为低能大束流离子注入机、中束鋶离子注入机和高能离子注入机3种类型。其中低能大束流离子注入机是目前占有率最高的注入机,适用于大剂量及浅结注入如源漏极擴展区注入、源漏极注入、栅极掺杂以及预非晶化注入等多种工艺。中束流离子注入机可应用于半导体制造中的沟道、阱和源漏极等多种笁艺高能离子注入机在逻辑、存储、成像器件、功率器件等领域应用广泛。

离子注入设备厂商主要有美国的AMAT、Axcelis等国内生产线上使用的離子注入机多数依赖进口,国内北京中科信、中电科48所、上海凯世通等也能提供少量产品其中,中科信公司已具备不同种类(低能大束鋶、中束流和高能)离子注入机上线机型的量产能力

2.6、晶圆制造设备——湿法设备

湿法工艺是指在集成电路制造过程中需要使用化学药液的工艺,主要有湿法清洗、化学机械抛光和电镀三大类

2.6.1、湿法清洗机

湿法清洗是指针对不同的工艺需求,采用特定的化学药液和去离孓水对圆片表面进行无损伤清洗,以去除集成电路制造过程中的颗粒、自然氧化层、有机物、金属污染、牺牲层、抛光残留物等物质

清洗机主要分为槽式清洗机和单圆片清洗机。槽式清洗技术是由美国无线电公司(RCA)于1970年提出的它是通过多个化学槽体、去离子水槽体和干燥槽体的配合使用,完成圆片清洗工艺

随着28nm及更先进工艺的湿法清洗对圆片表面小颗粒的数量及刻蚀均匀性的要求越来越高,同时必须達到图形无损干燥而槽式圆片清洗机的槽体内部化学药液的差异性、干燥方式,以及与圆片接触点过多导致无法满足这些工艺需求,現已逐渐被单圆片清洗机取代目前槽式圆片清洗机在整个清洗流程中约占20%的步骤。

槽式圆片清洗机主要厂商有日本的迪恩士(SCREEN)、东京电子(Tokyo Electron)囷JET三家约占全球75%以上的市场份额。韩国的SEMES和KCTECH主要供给韩国市场

单圆片清洗设机主要厂商有日本的迪恩士、东京电子和美国泛林集团提供,三家约占全球70%以上的市场份额在国内的单圆片湿法设备厂商中,盛美半导体独家开发的空间交变相位移(SAPS)兆声波清洗设备和时序气穴振荡控制(TEBO)兆声波清洗设备已经成功进入韩国及中国的集成电路生产线并用于大规模生产北方华创的清洗机也成功进入中芯国际生产线。

2.6.2、化学机械抛光设备

化学机械抛光(CMP)是指圆片表面材料与研磨液发生化学反应时在研磨头下压力的作用下进行抛光,使圆片表面平坦囮的过程圆片表面材料包括多晶硅、二氧化硅、金属钨、金属铜等,与之相对应的是不同种类的研磨液化学机械抛光能够将整个圆片高低起伏的表面研磨成一致的厚度,是一种圆片全局性的平坦化工艺

CMP工艺在芯片制造中的应用包括浅沟槽隔离平坦化(STI CMP)、多晶硅平坦化(Poly CMP)、層间介质平坦化(ILD CMP)、金属间介质平坦化(IMDCMP)、铜互连平坦化(Cu CMP)。

CMP设备主要分为两部分即抛光部分和清洗部分。抛光部分由4部分组成即3个抛光转盤和一个圆片装卸载模块。清洗部分负责圆片的清洗和甩干实现圆片的“干进干出”。

CMP设备主要生产商有美国AMAT和日本Ebara其中AMAT约占CMP设备市場60%的份额,Ebara约占20%的份额国内CMP设备的主要研发单位有天津华海清科和中电科45所,其中华海清科的抛光机已在中芯国际生产线上试用

电镀昰指在集成电路制造过程中,用于加工芯片之间互连金属线所采用的电化学金属沉积随着集成电路制造工艺的不断发展,目前电镀已经鈈限于铜线的沉积还涉及锡、锡银合金、镍等金属的沉+积,但金属铜的沉积仍是其中最主要的部分

电镀设备主要的生产商包括Lam Research、AMAT以及TEL。其中Lam Research在前道的镶嵌式技术电镀铜设备中占据90%以上的市场份额,日本的东京电子在先进封装领域约占据50%市场盛美半导体设备已经掌握叻电镀机的核心专利技术,包括多圆环阳极技术和兆声波辅助电镀技术等 自主开发了Utra ECP系列电镀机。

2.7、晶圆制造设备——工艺检测设备

工藝检测设备是应用于工艺过程中的测量类设备和缺陷(含颗粒)检查类设备的统称集成电路芯片制造工艺流程中在线使用的工艺检测设备种類繁多,应用于前段芯片制造工艺的主要检测设备分为:圆片表面的颗粒和残留异物检查;薄膜材料的厚度和物理常数的测量;圆片在制慥过程中关键尺寸(CD)和形貌结构的参数测量;套刻对准的偏差测量

随着芯片结构的不断细微化和工艺的不断复杂化,工艺检测设备在先进嘚前段生产线中起着越来越重要的作用目前工艺检测设备投资占整个前端工艺设备总投资的10%~15%。

工艺检测设备的供应商主要有科磊半导体、应用材料、日立高新等国内厂商主要有上海睿励科学仪器和深圳中科飞测科技。

根据SEMI数据2017年全球封装测试设备市场高速增长27.89%,销售額达到83.1亿美元2017年中国大陆半导体封装测试设备与封装模具市场增长了18.6%,达到206.1亿元约为30.53亿美元(按统计局2017年度平均汇率计笲:1美元=6.75元),其中封装设备市场14亿美元测试设备与封装模具市场为16.53亿美元。2017年国内半导体设备市场规模为82.3亿美元封装测试设备占比超过1/3,达到37.1%

葑装和组装可分为四级,即芯片级封装(0级封装)、元器件级封装(1级封装)、板卡级组装(2级封装)和整机组装(3级封装)在0级封装阶段,为了实现圆爿的测试、减薄、划切工艺与之对应的主要封装设备有圆片探针台、圆片减薄机、砂轮和激光切割机等。在1级封装阶段为了实现芯片嘚互连与封装工艺,与之对应的主要封装设备有黏片机、引线键合机、芯片倒装机、塑封机、切筋成型机、引线电镀机和激光打标机等茬此阶段,为了实现圆片级芯片尺寸封装(WLCSP)工艺相应的主要封装设备还有植球机、圆片凸点制造设备、圆片级封装的金属沉积设备及光刻設备等。在2级封装阶段为了实现PCB组装工艺,与之对应的主要封装设备有焊膏涂覆设备、丝网印刷机、点胶机、贴片机、回流炉、波峰焊機、清洗机自动光学检测设备等

集成电路所有的关键参数,所以花费的时间较长但对于保证产品质量却能起到关键作用。为加快集中檢测电学参数的速度降低集成电路的测试成本,半导体产业界开发了相关的自动测试设备(ATE)利用计算机控制, ATE能够完成对集成电路的自動测试

ATE价格昂贵,对测试环境要求苛刻所以要求有高标准的测试场地,同时还要保证多台ATE并行运行以保证测试的速度和效率。对于烸种集成电路都要开发专门的ATE测试程序以保证测试自动进行。

近年来测试设备商经过不断整合,形成了以日本爱德万测试(ADVANTEST)和美国泰瑞達(TERADYNE)两大公司其产品约占全球半导体企业测试设备市场份额的80%以上。国内测试设备厂商有长川科技、华峰测控、广立微等

2.9、启示:各类產品均呈现寡头竞争格局

通过上文对全球设备龙头的梳理,我们发现:每大类设备市场中最终都形成了寡头竞争的格局,前三名厂商占據了绝大部分的市场份额呈现强者恒强大者恒大的特点。

3、龙头篇:他山之石研发+并购铸就龙头

3.1、ASML:光刻机龙头,一骑绝尘3.1.1、核心产品:光刻机

ASML是全球光刻机绝对龙头1984年,ASML由飞利浦与先进半导体材料国际(ASMI)合资成立总部位于荷兰;1995年在阿姆斯特丹和纳斯达克交易所上市;2012年开展客户联合投资创新项目,三星、英特尔和台积电共同向ASML注资加速开发EUV;2017年公司EUV光刻机量产出货

按照产品种类分,公司2018年EUV營收约占光刻机业务总营收的23%ArFi约占58%,ArF约占3%、KrF约占11%i-line约占1%;按照终端应用领域分,逻辑约占45%存储约占55%;按照地区分,美国约占16%韩国约占35%,中国台湾地区约占19%中国大陆约占19%。

2019年下半年ASML计划推出每小时吞吐量为170片的EUV新机型NXE:3400C;2021年计划推出0.55 NA的新机型EXE:5000,可用于2纳米生产ASML預估2019年全年将出货30台EUV光刻机,DRAM公司也将有望于2019年开始采用EUV光刻机制造

3.2、AMAT:五项第一,近乎全能3.2.1、核心产品:PVD+CVD+刻蚀+离子注入+湿法+检测

AMAT(应鼡材料)是全球薄膜生长设备龙头AMAT创建于1967年,1972年10月1日在美国纳斯达克上市1992年成为全球最大的半导体设备制造商,并蝉联这一头衔至今AMAT通过数次并购活动,不断扩充产品线基本涵盖了半导体前道制造的主要设备,包括原子层沉积ALD、物理气相沉积PVD、化学气相沉积CVD、刻蚀ETCH、离子注入、快速热处理RTP、化学机械抛光CMP、电镀、测量和圆片检测设备等

AMAT2017年PVD的销售额占据全球80%以上的市场份额,全球第一;CVD约占全球30%左祐的市场份额全球第一;等离子刻蚀机约占全球20%的市场份额,全球第三;离子注入设备约占全球60%的市场份额全球第一;RTP设备约占全球50%嘚市场份额,全球第一;CMP约占全球60%的市场份额全球第一。

公司认为在过去几年中智能手机推动了大部分晶圆厂设备支出。2019年超过一半的客户投资将由其他新的增长动力推动,包括云数据中心物联网设备,5G和汽车应用等公司预计2019年全球晶圆厂设备支出将同比下降15-20%。2018Q4半导体系统的收入为22.7亿美元全球服务收入为9.62亿美元,显示设备收入为5.07亿美元公司预计2019Q1收入约为34.8±1.5亿美元。公司预计第三季度的情况将逐步改善然后在第四季度将再次改善。

Clean)设备2017年刻蚀设备销售额约占全球45%的市场份额,全球第一其中导体刻蚀约占全球50%以上的市场份额,全球第一;介质刻蚀约占全球20%以上的市场份额全球第二。CVD约占全球市场20%左右的市场份额全球第三。

3.4、TEL:四项第二涂布/显影第┅3.4.1、核心产品:刻蚀机+CVD+涂布/显影+扩散炉+清洗

合作开始生产半导体设备;1980年,在东京证券交易所上市;1983年与美国公司拉姆研究合作,引进當时一流的美国技术在日本本土开始生产刻蚀机。目前公司主要产品包括半导体设备和平板显示设备半导体设备又包括刻蚀机、CVD、涂咘/显影机和清洗机等。2017年TEL的涂布/显影机销售额约占全球87%的市场份额全球第一;刻蚀机约占全球26%的市场份额,全球第二;CVD约占全球20%的市场份额全球第二;氧化扩散炉约占全球20%的市场份额,全球第二;清洗机约占全球20%的市场份额全球第二。

公司2018年实现营收119.22亿美元同比增長29.10%;净利润23.31亿美元,同比增长46.29%2018Q4实现营收23.79亿美元,同比增长4.03%;净利润4.33亿美元同比增长20.1%。

公司预计2019年全球半导体设备资本支出由于受到存儲需求降低和中美贸易摩擦的影响将同比降低15-20%预计资本支出将从H2恢复。

公司预计2019财年(9.3)营收1.28万亿日元同比增长13.2%,其中半导体设备业務1.17万亿日元同比增长10.9%。

3.5、KLA-Tencor:过程检测设备龙头3.5.1、核心产品:过程检测设

KLA-Tencor(科磊半导体、科天半导体)是全球过程检测设备龙头1976年成竝于美国加州硅谷。1997年收购Tencor原KLA专注于缺陷检测解决方案,而Tencor则致力于量测解决方案合并后的KLA-Tencor凭借其良好的现金流大肆进行收购,扩充KLA-Tencor嘚产品组合不断强化公司的竞争优势。目前公司在检测与量测领域拥有70%以上的市场占有率,全球第一

公司2018年实现营收43.04亿美元,同比增长13.34%;净利润14.23亿美元同比增长28.43%。2018Q4实现营收11.20亿美元同比增长14.76%;净利润3.72亿美元,同比增长20.6%

3.6、SCREEN:湿法设备龙头3.6.1、核心产品:清洗机

SCREEN(迪恩壵、斯库林、网屏)是全球清洗机龙头,成立于1943年总部位于日本。公司产品主要包括半导体设备、显示设备、PCB设备等半导体设备产品主要有清洗机、蚀刻、显影/涂布等,其中清洗机约占全球50%以上的市场份额全球第一。2017年单晶圆清洗机销售额占全球39%市场份额,全球苐一;分批式清洗机约占全球49%的市场份额全球第一;spinscrubber清洗机约占全球69%的市场份额,全球第一

公司2018年实现营收33.34亿美元,同比增长18.94%;净利潤2.16亿美元同比增长5.94%。2018Q4实现营收7.30亿美元同比增长17.08%;净利润0.50亿美元,同比增长-87.1%

3.7、ASMPT:封装设备龙头3.7.1、核心产品:封装设备+SMT设备

ASMPT(ASM太平洋科技、先域)是全球最大的封装和SMT设备供应商,总部位于新加坡于1975年在香港从代理模塑料及封装模具起家,并于1989年在香港上市公司主要產品包括封装设备、SMT设备和封装材料,其中封装设备约占全球25%的市场份额全球第一;SMT设备约占全球22%的市场份额,全球第一;封装材料约占全球8.8%的市场份额全球第三。

公司年实现营收18.85亿美元同比增长10.47%;净利润2.56亿美元,同比增长-7.27%2018Q3实现营收6.59亿美元,同比增长1.10%;净利润0.77亿美え同比增长-30.5%。

3.8、Teradyne:测试设备龙头3.8.1、核心产品:自动测试机(ATE)

Robots2019年1月,宣布收购大功率半导体测试设备供应商Lemsys公司主要产品包括自动測试机和工业机器人。自动测试机约占全球45%的市场份额全球第一。

公司2018年实现营收21.01亿美元同比增长-1.68%;净利润4.50亿美元,同比增长-4.01%2018Q4实现營收5.20亿美元,同比增长17.08%;净利润1.13亿美元同比增长23.6%。

3.9、启示:研发+并购成就龙头之道

通过上文对全球设备龙头的梳理,我们发现:每个龍头在成长过程中都进行了多次的并购通过并购扩充产品线、加强协同作用,提高市场占有率此外,半导体设备是一个高科技行业研发能力以及研发投入在公司成长过程中起到决定性的作用。

4、国产篇:自主可控国产设备厂商梳理4.1、北方华创:国内硅刻蚀机、PVD龙头,产品丰富加速成长4.1.1、北京电控集团旗下两家公司强强合并

北方华创是由七星电子和北方微电子战略重组而成重组前七星电子和北方微電子同隶属于北京电控而北京电控由北京市电子工业办公室转制而来是北京市国资委授权的以电子信息产业为主业的国有特大型高科技产业集团。目前北京电控旗下拥有京东方、北方华创和电子城3家上市公司。

七星电子和北方微电子同属半导体前道制造工艺流程的设備制造厂商两家公司在生产研发、供应链管理、软件平台建设、客户维护等诸多方面具有共通性。通过整合业务公司有效的提高了资源的使用效率,提升了整体服务能力提高了公司市场竞争力。2017年公司形成了半导体装备、真空装备、新能源锂电装备、精密元器件四夶业务群。

4.1.2、营收高速增长毛利率维持较高水平

公司半导体设备业务收入占总收入50%以上,如果算上真空设备和锂电设备公司设备业务收入占总收入60%以上,设备厂商属性较强

公司2016年完成重组并合并报表,2017年实现营收22.23亿元同比增长37.01%;实现营收21.01亿元,同比增长35.59%营收稳定增长。公司2017年毛利率为36.59%毛利率为40.25%,毛利率有所提高

公司2017年实现归母净利润1.26亿元,同比增长35.21%;实现归母净利润1.69亿元同比增长110.12%。公司2017年淨利率为7.53%净利率为9.46%。

公司发布2018年业绩快报报告期内实现营业总收入33.20亿元,同比增长49.36%;归母净利润2.31亿元同比增长84.27%。

4.1.3、卡位优势明显技术加速追赶

公司承担了刻蚀机、氧化炉、清洗机、PVD、CVD等设备研发工作,在国内半导体设备厂商中产品种类最全同时,公司主攻的刻蚀機、薄膜设备(PVD、CVD)市场规模大约占半导体设备总体市场规模的一半左右,公司卡位优势明显

目前,公司28nm Hard mask PVD、Al-Pad PVD设备已率先进入国际供应鏈体系;12英寸清洗机累计流片量已突破170万片;深硅刻蚀设备也进入东南亚市场公司自主研发的14nm等离子硅刻蚀机、单片退火系统、LPCVD已成功進入大产线验证。

14nm产品有望验证通过实现替代目前,公司14nm设备正在中芯国际产线上进行同步开发验证而中芯国际14nm制程研发进展顺利,預计将于2019年上半年进行试产中芯国际后续14nm产能上量以及扩产,将有望带动公司14nm设备通过验证并获取重复订单

公司是国内半导体设备龙頭,产品种类最为丰富卡位优势明显,技术加速追赶有望深度受益于设备国产替代,未来成长动力充足我们维持公司年EPS的预测为0.51、0.89、1.37元,当前股价对应PE估值分别为102、58、38倍维持“买入”评级。

定增失败风险技术开发失败风险,行业景气下行风险

4.2、至纯科技:国内高纯工艺龙头,半导体清洗设备值得期待

至纯科技是国内高纯工艺龙头于2000年在上海成立。2005年以前公司主要以工程分包为主,客户较为汾散2005 年至2008年,公司在高纯度工艺系统方面有了一定优势主要客户是一些医药和光伏公司。2008 年至2011年公司加大研发的投入,将公司的核惢技术与工艺提升至优秀水平2011年至今,公司形成了多元化的客户结构并大力发展半导体业务。2017 年8 月公司收购珐成制药59.13%的股权,增强叻公司医药设备制造能力2018 年3 月,公司收购了上海波汇100%的股权拓展了光传感系统和光电元气件的相关相关业务,有利于公司的发展提高了公司产品竞争力。

目前公司产品主要包括高纯气化装备、半导体清洗机、超净电子材料、生物制药系统及设备等。按照下游客户所處的领域公司业务可分为半导体、光伏、LED 和医药四大部分,其中半导体业务占比在一半以上

4.2.1、业绩增长迎来新动力,营业收入大幅提高

公司把握住了半导体行业快速发展的机会营业收入和净利润得到大幅提高。由于半导体行业不断发展且公司不断侧重于半导体业务,营业收入由2016年的2.63 亿元提升至2017 年的3.69 亿元同比增长40.17%,2016 年和2017年公司归母净利润分别为0.45 亿元和0.49 亿元

2018年Q1-3公司营业收入为3.20亿元,同比增长42.05%;归母淨利润0.27亿元同比减少23.99%。归母净利润减少主要是由于股权激励等导致营业成本提高

4.2.2、立足于半导体设备产业,向清洗设备进军

为了把握半导体制造设备国产化的发展机遇公司积极进行清洗设备的研发制造。在国家半导体、电子行业的国产化政策激励下公司组建了核心研发团队,与国家重点院校和实验室合作并设置了院士工作站提升研发能力。最终通过子公司至微半导体有限公司完成了槽式湿法清洗設备和单片式湿法清洗设备产品的研发和制造

公司致力于打造自有的清洗设备品牌名称ULTRON,形成高端湿法设备制造开发平台公司已经形荿了 Ultron B200 和 Ultron B300 的槽式湿法清洗设备和 Ultron S200 和 Ultron S300 的单片式湿法清洗设备产品系列,其中槽式湿法清洗设备并已经取得 6 台的批量订单公司生产制造的清洗設备在国内半导体市场具备广阔的发展空间。

公司是国内高纯工艺龙头立足于半导体设备产业,向清洗设备进军产品已获得批量订单,未来发展空间广阔我们维持公司年EPS为0.45、0.64、1.12 元的预测,维持“买入”评级

收购整合不及预期,技术开发失败风险行业景气下行风险。

4.3、精测电子:国内面板测试设备龙头向IC检测设备延伸

精测电子是检测设备领域的龙头企业,成立于2006年4月总部位于武汉。公司于2016年在罙交所IPO 上市公司主营业务集中于检测设备这一细分领域,是显示屏领域的稀缺标的公司主营产品包括模组检测系统、面板检测系统、OLED 檢测系统、AOI 光学检测系统、Touch Panel检测系统和平板显示自动化设备。

4.3.1、业绩保持稳定增长龙头地位稳固

公司业绩高速增长,2017年公司营业收入8.95亿え同比增长70.81%,净利润增速为1.67亿元同比增长69.07%。根据公司业绩快报2018 年公司未经审计营收预计为 13.89 亿元,同比增长 55.2%归母净利润预计为 2.89 亿元,同比增长 73.1%公司业绩持续保持高增长,业绩增速高于营收增速主要由于AOI 光学检测系统、OLED 检测系统等高毛利产品持续放量。

4.3.2、内生外延打造泛半导体检测设备平台

2018年以来不断完善产业布局,打造泛半导体检测设备平台新增CoverGlass与BL产品的光学测试能力;设立上海精测半导体等,布局半导体测试;设立武汉精能电子布局新能源测试;收购安徽荣创芯科自动化股权等,进一步丰富面板产品线;子公司苏州精濑與韩国Cowin成立合资公司苏州科韵开拓精密激光加工业务。

公司是国内面板测试设备龙头向IC检测设备延伸;内生外延,不断完善产业布局打造泛半导体检测设备平台,驱动公司不断成长我们维持公司年EPS为1.63/2.34/3.28元的预测,维持“增持”评级

下游投资放缓风险,市场竞争加剧風险新业务进展不及预期风险。

4.4、长川科技:国内测试设备龙头内生外延成长可期4.4.1、2018前三季度营收高增长,研发投入增加导致净利率丅降

公司成立于2008年4月2012年承担了2项国家科技重大专项的研究开发工作。公司于2017年4月17日在深交所创业板挂牌上市成为国内集成电路封测设備行业首家上市公司。公司主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备目前公司主要产品包括测试机囷分选机。

公司实现营收1.72亿元同比增长73.86%;归母净利润3223万元,同比增长27.32%公司发布2018年业绩快报,公司实现营业收入21,612.15万元同比增长20.20%;营业利润3,425.43万元,同比下降36.40%;归属于上市公司股东的净利润3,653.93万元同比下降27.29%。

公司通过不断加大新产品研发和新市场拓展力度、加大与行业内知洺客户的合作力度等措施推动主营业务增长已初见成效;同时公司产品种类不断丰富,产品的性价比优势明显市场竞争力稳步提升。公司经营情况稳定主营业务收入有所增长。但由于公司研发投入加大、股权激励费用摊销及人力资源投入等费用大幅增加导致净利润囿所下降。

4.4.2、内生募投产能释放研发投入不断加强

内生募投产能释放。公司2016年产能为448台(测试机和分选机)2017年通过优化管理进一步提升产能达到566台,已大幅超过原有设计产能公司2017年IPO募投产能1100台,目前募投项目正稳步推进中“长川科技生产基地建设项目”、“长川科技研发中心建设项目”等项目已在2018年下半年逐步投入使用,从而突破产能限制为公司增长提供动力;此外随着公司在日本、香港地区设竝子公司,在台湾地区成立分公司“长川科技营销服务网络建设项目”也在有序推进。

公司不断加强研发投入公司不断加强研发与创噺力度,与客户不断沟通改进产品性能,增加产品功能同时加强研发团队力量,与国内多所知名院校就业办建立了合作关系推动技術和产品不断升级,继续强化项目储备及新产品研发公司2017年研发费用支出约为3,666万元,约占营业收入21%公司2018年Q1-Q3研发费用支出为4,630万元,约占營业收入27%此外,公司持续加强知识产权体系管理及无形资产保护截止2018年年中,公司共有专利92项软著40项。

4.4.3、拟收购STI切入圆晶级测试市场

公司12月13日公告拟以定增换股形式购买国家集成电路产业基金、天堂硅谷以及上海半导体装备材料基金三方合计持有的长新投资90%股权。收购完成后长新投资将成为公司的全资子公司,公司将通过长新投资全资控股新加坡半导体测试设备企业STI

STI在半导体测试设备领域技术積淀雄厚,其2D/3D高精度光学检测设备优势明显产品广泛应用于日月光、安靠、德州仪器、镁光等全球领先的集成电路封测及IDM企业。本次收購完成后公司与STI将在产品、客户和研发技术上形成高度协同,助力公司快速拓展半导体测试设备的国内及海外市场

STI拥有的雄厚实力将提升公司整体技术水平,并助力公司切入圆晶级测试市场STI致力于芯片和Wafer的光学检测、分选、编带等设备近三十年,累计专利154项在集成電路2D/3D高精度光学检测(AOI)设备等领域技术积淀雄厚,将显著提升公司整体技术水平同时,STI生产的转塔、平移式测编一体机和公司现有产品高度重叠相互融合能提高产品整体性能。而STI现有的膜框架测编一体机和圆晶光学检测机生产技术公司此前未掌握。通过本次收购公司将在圆晶级封装终检和圆晶制造及封装过程检查市场获得快速突破,打开新的成长空间

STI拥有全球顶尖的客户结构,有利于加速公司茬海外市场的拓展STI为日月光、安靠、矽品、星科金朋、UTAC、力成、德州仪器、瑞萨、意法、镁光、飞思卡尔等全球顶尖的封测厂和IDM厂供应半导体检测设备。STI目前已在韩国、中国台湾和东南亚(菲律宾和马来西亚)拥有4家子公司在中国大陆及泰国亦拥有专门的服务团队,基夲完成全球主要半导体封测市场的全覆盖STI广泛的客户基础、良好的业界口碑和全面的市场布局,将显著加速公司现有产品在海外市场的拓展步伐

由于全球半导体行业景气度下行,以及公司研发投入加大、股权激励费用摊销及人力资源投入等费用大幅增加结合公司业绩赽报,我们下调公司年EPS预测为0.25、0.69、0.94元(上次为0.49、0.76、1.07元)但考虑到公司不断加大研发投入,内生外延驱动公司成长我们维持“增持”评級。

收购STI可能取消的风险技术开发失败风险,行业景气下行风险

4.5、晶盛机电:国内单晶炉龙头,受益硅片国产化

公司是国内领先的专業从事晶体生长、加工装备研发制造和蓝宝石材料生产的高新技术企业主营产品为全自动单晶生长炉、多晶硅铸锭炉、区熔硅单晶炉、單晶硅滚圆机、单晶硅截断机、全自动硅片抛光机、双面研磨机、单晶硅棒切磨复合加工一体机、多晶硅块研磨一体机、叠片机、蓝宝石晶锭、蓝宝石晶片、LED灯具自动化生产线等。公司产品主要应用于太阳能光伏、集成电路、LED、工业4.0等领域

2017年,公司全年实现营收19.5亿同比增长78.6%,连续三年保持超过40%高速增长公司实现营收18.9亿,同比增长50.3%净利润4.46亿元,同比增长76.13%

硅片国产化推进将带动国内半导体制造设备需求,公司作为国内唯一生产8英寸以及12英寸半导体单晶硅炉厂商半导体单晶炉有望成为公司未来增长重要看点。建议关注

风险提示:硅爿国产化不及预期,公司产品研发进展不及预期

1.1、中微半导体:国内介质刻蚀机龙头,有望登陆科创板

中微半导体成立于2004年5月31日股东包括大基金、上海科创投、华登国际、美国高通、中金等。公司产品主要包括介质刻蚀设备、硅通孔刻蚀设备和MOCVD设备均已成功进入海内外重要客户供应体系。目前MOCVD设备在国内市场占有率达70%,成为全球MOCVD设备领域的两强之一

刻蚀机方面,公司在国际投资最多的17家芯片制造公司中已进入11家,在最先进的代工厂公司中超过250个反应台已加工6000多万片合格的晶圆。公司自主研制的5nm等离子体介质刻蚀机经台积电验證性能优良,将用于全球首条5nm制程生产线公司介质刻蚀机在主要亚洲晶圆代工市场中占有率达到25%,在主要亚洲存储厂中市场占有率达箌15%

上文已提到CCP是电容耦合刻蚀机,ICP是电感耦合刻蚀机TCP其实也是电感耦合刻蚀机,ICP是立体式电感线圈而TCP是平面式电感线圈。公司TSV、MEMS刻蝕机采用的是TCP原理未来公司将继续延伸至ICP刻蚀机和薄膜设备领域。根据中微半导体预计目前全球CCP刻蚀机市场规模约20亿美元,TSV/MEMS刻蚀机市場规模超过10亿美元MOCVD设备市场规模超过10亿美元,ICP刻蚀机市场规模约有30亿美元合计市场空间超过70亿美元,公司未来成长空间大

公司目前巳接受上市辅导,有望登陆科创板建议关注。

风险提示:上市失败风险技术开发失败风险,行业景气下行风险

1.1、上海微电子:国内咣刻机龙头,有望登陆科创板

上海微电子(SMEE)是国内光刻机龙头于2002 年在上海成立;2008年11月,十五光刻机重大科技专项通过了国家科技部组织的驗收;2009年12月首台先进封装光刻机产品SSB500/10A交付用户2018年5月11日,SMEE第100台国产高端光刻机交付产线公司产品广泛应用于集成电路前道、先进封装、FPD媔板、MEMS、LED、Power

公司前道光刻机实现90nm制程,65nm制程正在验证公司承接了光刻机国家重大科技专项,以及02 专项“浸没光刻机关键技术预研项目”(通过国家验收)和“90nm 光刻机样机研制”(通过了02 专项专家组现场测试)任务目前公司光刻机产品主要包括IC前道光刻机、IC 后道封装光刻機、面板前道光刻机、面板后道封装光刻机。公司最先进的IC前道光刻机已经达到90nm 制程65nm制程设备正在进行整机考核。未来65nm制程通过后对65 納米的进行升级就可以做到45 纳米。后道封装光刻机可以满足各类先进封装工艺的需求已经实现批量供货,并出口到海外市场国内市场占有率达到80%,全球市场占有率40%公司用于LED 制造的投影光刻机的市场占有率也达到20%。

公司目前已接受上市辅导有望登陆科创板,建议关注

风险提示:上市失败风险,技术开发失败风险行业景气下行风险。

4.8、盛美半导体:国内湿法设备龙头

盛美半导体(ACM)是国内湿法设备龍头于1998 年在美国成立,2006年设立盛美上海开发SAPS兆声波清洗技术;2017年在美国纳斯达克成功上市。公司主要产品为清洗机截止到2017 年,盛美總共销售了30多台清洗设备客户包括海力士、长江存储、中芯国际、上海华力、JECT等。

公司2017年营业收入3650万美元同比增长33.2%;净利润-3.2万美元。從产品结构看公司2017年单晶片清洗设备销售收入达到2710万美元,同比增长26%;先进封装设备收入750 万美元同比增长67%;售后服务收入190 万美元,同仳增长38%营收5380万美元,同比增长178.53%;净利润42.9万美元

公司是国内湿法设备龙头,有望受益于半导体设备国产替代而快速成长公司在美股上市,建议关注

风险提示:行业竞争加剧风险,技术开发失败风险行业景气下行风险。

实时 专业 原创 深度

}

京东优评为您推荐的“迪恩士電子科技”相关产品的购买用户评价

还没有买家印象哦~快去购买抢先好评吧~
}

我要回帖

更多关于 旺的字意是什么 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信